logo资料库

心音信号采集与分析系统.pdf

第1页 / 共5页
第2页 / 共5页
第3页 / 共5页
第4页 / 共5页
第5页 / 共5页
资料共5页,全文预览结束
第 !" 卷第 # 期 &’() !"* +’) # 重庆工商大学学报( 自然科学版) , -.’/012/0 345./’( 6782/488 9/2:)( +;< =52 >?) !$$% 年 "$ 月 @5<) !$$% * 文章编号:"ED! A $#FG(!$$%)$# A $%E# A $B * 心音信号采集与分析系统 (") 重庆大学 电气工程学院,重庆 %$$$%%;!) 重庆工商大学 计算机科学与信息工程学院,重庆 %$$$BB) 谭江平",何* 为",张占龙! 摘* 要:主要阐述了心音信号的意义,介绍了传统心音听诊方面的缺点。在此基础上,针对 传统心音听诊中的弊端,开发了一种新型的电子心音信号采集、分析和处理系统。这个系统包 括高性能的心音传感器、前向处理电路、H I J 转换电路和与 K- 机通信的高速接口电路。心音信 号通过心音传感器采集进来,经过前向处理电路进行模拟滤波,由高速接口电路传到上位机。 关键词:心音信号;心音传感器;高速微处理器;9=6 中图分类号:3K !C;3K B"") #!* * 文献标识码:H * * 心音信号是一种重要贡献的生理信号,含有关于心脏各个部分如心房、心室、大血管、心血管及各个 瓣膜功能状态的大量病理信息。更值得一提的是,心音在心血管疾病的治疗中具有重要价值,是心血管 疾病无创性检测的重要方法。无论图像技术发展到如何水平,听诊始终是心脏疾病检测的重要一环。几 个世纪以来,一直采用声学听诊器来听诊,但是传统的听诊器有以下几个弱点: 第一,因为心音听诊就是凭医生的经验用听觉分析心音信号,它是临床医生的基本功之一,对医生的 经验有很高的要求; 第二,声觉听诊远远不能满足医学上所要求的高精确度性能; 第三,一个非常严重的缺点在于不 能储备心音听诊的大量病例资料。 新开发的心音描记法克服了以 前的几个缺点。心音图可以清晰而 重复地 描 述 心 音 的 适 时 相 应 强 度。 而且,微弱的心音信号可以被电子线 路放大,只要设计适当,将产生很平 直的频率响应。然而,在手持式电子 心音听诊器面世之前,这个系统比较 庞大、有噪音,比传统心音传感器更 图 "* 心音信号采集硬件框图 难操作。随着最近模拟和数字微电子学的发展,以及高质量低功耗的音频放大器的出现,为功能强大、体 积小巧的电子心音听诊器的出现奠定了技术基础。 "* 系统描述 该系统包括有心音传感器、心音前置放大器、滤波电路、H I J 转换电路、音频回放电路、心音号预处理 以及高速串口通讯电路等( 如图 ")。整个系统可以分成几个较大部分:信号前向通道处理部分、H I J 采 样部分、信号处理部分、高速串行通行部分,以及心音信号音频回放部分。 收稿日期:!$$% A $B A !$;修回日期:!$$% A $% A $!。 作者简介:谭江平("CDC A ),男,湖南人,硕士研究生,从事医疗仪器方面的研究。 万方数据
880 重庆工商大学学报= ( 自然科学版)= = = = = = = = = = = = = = = 第 *! 卷 (!)信号前向通道处理部分。这部分包括心音信号采集、前置放大电路、"# $% 陷波电路以及高通、 低通电路。心音换能器是一种高性能、动态微压传感器,动态压力信号经过薄膜变成电荷量,再经传感器 内部放大,电路转换成电压输出。输出的微弱电压信号经过前置放大处理。前置放大主要是初步放大以 及提高整个电路的共模拟制比。由于测量电源中存在较大的工频干扰噪音,有时候这些噪音可以完全将 微弱信号淹没。在这里采用 "# $% 滤波器将其滤掉。由于心音主要集中于 "## $% 以下,为了鉴定高频杂 音,如主动脉瓣关闭不全时的杂音,把采样的极限截止频率定为 ! ### $%。在低频段,截止频率定为 & $%。 这样,在低频段声学听诊听不到的第三、第四心音分量也可以鉴别。心音信号经过前向通道处理后,分两 路处理,一路就是直接用音频放大回路放音,这样可以将传统的心音信号声学听诊保留下来;另一路就是 通向 ’ ( ) 转换电路。 (*)’ ( ) 采样部分。截止频率是 ! +$%,每个周期采样点数为 ,。所选用的 ’ ( ) 转换精度为 !* -./, 转换的速率达到 0# +$%,如图 *。 (&)控制电路和信号处理部分。这部分采用美国 1234’5 公司生产的高性能微处理器 6,#"!7#*#。 6,#"!7#*# 是集成在一块芯片上的混合系统级单片机。芯片上有 80 位数字 2 ( 9 口,*":2;1 高速流水线 式 ,#"! 微控制器内核,在系统、全速、非插入式调试接口、80 +-./ 可编程 75’1$ 存储器,以及 0 +-./ 的 <’: 等。在这里,该制处理器用于控制前向处理的程控放大用,由于被测对象身体的胖瘦不同、被测部位 不同、体位不 同,因 而 采 集 的心音信号强弱存在差异。 如果心音幅值过大,采集的 信号处于限幅状态;如采集 的心音信号幅值过大,分析 时也存在错 误 结 果。为 保 证心音分析的结果能真实 地反映出心脏活动的状况, 必须根据检测心音时的不 同情况,在一定范围内自动 调整放大器 的 增 益,为 此, 用微处理器和数字电位器 图 *= 心音信号模数转换原理图 解决这一矛盾。另外,为了便于进行实时控制心音信号的采集,为整个系统扩展了人机接口,其中包括键 盘和液晶显示器。键盘主要控制数据的采样和传送。而液晶显示器则用于显示数据传送的速度等。在 数据采集到微处理器后,用软件做必要的滤波处理,本论文中,采用中值滤波处理方式,用中断方式每中 断 ! 次采样 & 点,通过中值滤波消除随机干扰来获得 ! 点采样值。 (0)高速串行通行部分。由于采样的速度很快,采用 <1*&* 接口已经不能满足数据传输的需要。图 & 是菲利普公司现成的模块,该模块数据通信率最高达到 , :-./ ( >,内部多达 &,0 字节的发送缓冲区和 !*, 字节 的 接 收 缓 冲 区。能 够 满 足 所 需 !8 2 !8+-./ ( > 的 需 要。如 图 &,?1@A<、 ?1@<)、BCD、
第 0 期" " " " " " " " " " " " " " " " 谭江平,等:心音信号采集与分析系统 5>6 !" 结" 论 设计的硬件系统采用了高性能微处理器 #$%&’(%!%,加强了数据的处理能力,改进了以前在处理器速 度慢等方面的不足。在控制的精度和速度上都有很大的提高。在信号的共模抑制方面,用三运放结构, 事实证明效果良好。信号经过 &% )* 陷波器滤掉工频干扰,然后通过高通低通滤波电路后,得到比较纯的 信号,信号在经过单机的数字滤波后送往 +# 机。传向 +# 采用 ,-. 接口,以满足传输数据量大的要求, 克服 /-!0! 串口速度慢的弱点。另外,还带有心音信号的回放功能,以便医生的听诊,提高了仪器的可靠 性。整个硬件系统经过测试,系统稳定、进行状况良好。 该系统( 包括 +# 机)在心音临床、科研应用中,其视听结合功能可以补偿普通( 即便是最好的)听诊 的不足,将过去对心音各成分时间的粗略估计替换成具体的实测数据,从而实现心音的优化分析,节省医 学人员的宝贵时间。 参考文献: [’]张毅刚,彭喜源,谭晓昀1 2#- 3 &’ 单片机应用设计[ 2]1 哈尔滨:哈尔滨工业大学出版社,’445 [!]姜建国,曹建中,高玉明1 信号与系统分析基础[ 2]1 北京:清华大学出版社,’446 [0]希望图书创作室1 789:;< # = = 技术内幕 >1 %[ 2]1 北京:北京希望电子出版社,’444 [6]张念淮,江浩1 7-. 总成接口开收指南[ 2]1 北京:国防工业出版社,!%%’ )?;@A 9B:CD 98EC;< E;AF?@8CE ;CD ;C;
心音信号采集与分析系统 作者: 作者单位: 谭江平, 何为, 张占龙 谭江平,何为(重庆大学,电气工程学院,重庆,400044), 张占龙(重庆工商大学,计算机科 学与信息工程学院,重庆,400033) 刊名: 英文刊名: 重庆工商大学学报(自然科学版) JOURNAL OF CHONGQING TECHNOLOGY AND BUSINESS UNIVERSITY(NATURAL SCIENCE EDITION) 2004,21(5) 0次 年,卷(期): 引用次数: 参考文献(4条) 1.张毅刚.彭喜源.谭晓昀 MCS-51单片机应用设计 1997 2.姜建国.曹建中.高玉明 信号与系统分析基础 1994 3.希望图书创作室 Programming Microsoft Visual C++ 6 0技术内幕 1999 4.张念淮.江浩 VSB总成接口开收指南 2001 相似文献(10条) 1.期刊论文 赵治栋.潘敏.李光.陈裕泉 ICA在心音信号预处理中的应用研究 -传感技术学报2003,16(2) 独立分量分析(ICA)是近年来涌现的用于盲信号分离的新技术,本文利用独立分量分析对心音信号进行了预处理:消除工频干扰.心音信号由自制的 心音传感器获得.在分析了独立分量分析的基本原理的基础上,建立了基于互信息极小的目标函数,研究了目标函数优化的迭代算法,给出了利用此算法 的ICA实现步骤.实验结果表明,利用独立分量分析有效地对心音信号进行预处理,能成功地从心音中分离出工频干扰信号. 2.学位论文 陈国强 心音信号的提取和处理研究 2009 心音信号是人体最重要的生理信号之一。听诊是对心脏疾病进行检测的一种重要手段,具有心电图、超声心电图不可取代的优势。但是传统的心 音听诊器存在多方面的不足,从而阻碍了医学在心音诊断方面的发展。电子心音图检查将心脏听诊形象化,提高了心脏疾病的诊断和鉴别水平。对心 音信号进行分析并且提取其特征参数,便于医生了解心脏的基本情况及做出更加准确的判断。然而到目前为止,国内的心音信号采集和分析系统仍相 当不成熟,基本上仍停留在理论研究的水平,很少有实用意义上的心音信号分析诊断方面的电子医疗产品。 本文设计并改进了一套基于PC机的 心音采集和处理系统,该系统实现了以下功能:心音数据的采集、提取、当前心音信号的显示、管理病人信息和心音数据文件、对心音信号进行时域 和频域分析、心音信号的波形回放及声音回放、查看及分析先前记录等。该系统体积小、成本低、使用方便。本研究针对心音信号的临床研究提供了 基本的分析方法和分析工具,为心音用于心脏疾病辅助诊断奠定了基础。本文设计的基于Matlab及PC机的心音采集系统为心音的数据采集及分析提供 了一个低成本可靠且可行的解决方案。同时,因为心音信号是非平稳信号,为了全面了解心音信号的特征,需要从时域、频域、时-频域等角度对心音 信号进行分析,提取特征参数。由于采集,处理中的各种干扰的存在,采集到的心音信号中含有大量噪声。因此,在进行分析之前要对心音信号进行 预处理,消除其包含的各种噪声。经过预处理后,心音信号质量显著提高,为进一步分析奠定了基础。 本论文主要作了以下几个方面的工作: (1)研究了心音的基础理论。包括产生机制、传输原理、心音信号特性、及传统心音图产生机制。 (2)研究了多种心音传感器,制作了一种 成本低廉,性能良好的心音传感器。 (3)研究了适合于心音和心电信号采集的模拟电路数字电路和信号处理方法。 (4)利用Matlab,对采 集到的心音信号,进行了滤波,去噪等处理,并在时域、频域、时-频域对采集到的心音信号进行了分析,提取了心音信号的包络,并计算得到了心音 信号的频率特性图和三维能量分布图,为进一步依靠心音信号分析病理信息提供了可能。 (5)为了使心音分析系统操作更加方便,本文开发了可 视化的心音管理系统,利用各种控件完成界面显示,且能直接调用函数对心音进行分析,简化了操作。 论文最后对全文进行了总结,指出了存 在的一些不足,并对下一步的研究工作进行了展望。 3.期刊论文 石幸利.张占龙.SHI Xing-li.ZHANG Zhan-long 智能式听诊辅助系统的设计 -重庆科技学院学报(自 然科学版)2008,10(3) 描述了心音信号的采集系统的新型设计,给出了高性能的心音传感器、前向处理电路、A/D转换电路和与PC机的高速接口电路.该心音信号采集系统 为心脏疾病临床诊断提供了医学参考. 4.期刊论文 韦哲.李战明.程自峰.李向伟.魏伟一.WEI Zhe.LI Zhan-ming.CHENG Zi-feng.LI Xiang-wei.WEI Wei-yi 基于声卡的心音信号采集与处理系统的实验研究 -中国医疗设备2008,23(10) 目的 研究一套基于PC机声卡的心音信号采集与处理系统,用于心音信号的实验研究.方法 利用PC机声卡功能和Labview 8.2中的数据采集与信号处 理工具包进行研究.结果 该系统能够采集、存储、显示、处理心音信号.结论 该系统简单、经济、功能较强,适合心音信号的实验性研究. 5.学位论文 石小波 便携式数字化心音分析仪的研究 2006 听诊是对心脏疾病进行检测的一种重要手段,具有心电图、超声心电图不可取代的优势。但是,传统的心音听诊器存在多方面的不足,从而阻碍 了医学在心音诊断方面的发展。在国内,到目前为止,心音信号采集和分析系统仍相当不成熟,基本上是停留在理论研究的水平,很少有实用意义上 的心音信号分析诊断方面的电子医疗产品。 本文研制了一种基于嵌入式计算机的便携式心音分析仪器,实现了以下功能:心音数据的采集,抓 取及当前心音信号的显示;管理病人信息和心音数据文件;对心音信号进行时域和频域分析;心音信号的波形回放及声音回放;查看及分析先前记录 。该仪器体积小、成本低、灵活方便,特别是对一些因患心脏疾病的而不方便行动的病人可以实行床旁动态监护和诊断。本研究针对心音信号的临床 研究提供了基本的分析方法和分析工具,为心音用于心脏疾病辅助诊断奠定了基础。本文设计的基于LabVIEW及嵌入式计算机的便携式心音分析仪为心 音的数据采集及分析提供了一个低成本、可靠且可行的解决方案。 本论文主要作了以下几个方面的研究: 1) 研究了心音的基础理论,包 括产生机制、传输原理、心音信号特性等,以及传统心音图产生机制; 2) 研究了多种心音传感器,制作了一种比较适合心音采集的传感器; 3) 研究了适合于心音和心电信号采集的模拟电路,数字电路和信号处理方法,如用调制法来处理心音信号,小波去躁等; 4)在嵌入式计 算机PCM-5825上实现了对心音软件的运行; 5)用Labview软件开发应用程序的用户平台,使其具有如下功能:管理病人信息及数据文件;采集 、显示当前的心音信号并加以保存或者显示以前已采集的数据;对心音和心杂音进行能量和时-频特性分析,获取心音信号的特征;用心电的R波和 Shannon能量两种方法实现心音的准确定位; 6)临床数据的采集及分析。 6.期刊论文 郭军涛.林森财.侯开江.陈基明.GUO Jun-tao.LIN Sen-cai.HOU Kai-jiang.CHEN Ji-ming 基于 HKY06A心音传感器的心音信号提取 -医疗设备信息2008,23(3)
目的:实现心音信号提取.方法:使用HKY06A心音传感器提取心音信号,使用双向检波及低通滤波实现心音包络的提取.结果:心音与心电的同步采集 信号的对照显示该方法能够有效提取心音信号. 7.期刊论文 季安.郭兴明.郭玮珍.肖守中.Ji An.Guo Xingming.Guo Weizhen.Xiao Shouzhong 基于USB接口的心 音信号检测系统的研制 -生物医学工程学杂志2008,25(5) 介绍了一种基于通用串行总线(USB)接口的心音信号采集、分析和处理系统.该系统包括高性能的心音传感器、预处理电路、A/D转换电路和与PC机 通信的USB高速接口电路.心音信号经过传感器采集,通过预处理电路的放大、滤波,再经过A/D转换电路送到单片机,单片机把现场采集到的数据及时可 靠地传递给PC机.通过实验表明,该系统能无创、快速、廉价和客观量化地对心血管病人和健康人的心肌收缩能力进行检测和评估,并为心力储备的评估 提供了一个可靠的技术平台. 8.学位论文 谭江平 心音信号采集和分析系统的研制 2004 本文首先系统研究了心音信号的产生机理和主要特性,分析了传统声学听诊上的不足,总结了目前国内外心音信号采集分析处理系统的研究现状和 存在的问题,结合重庆市医院资深医生提出的具体要求,从理论上证明了心音信号采集和分析方法的可行性.接着,本论文综合应用模拟电子技术、数字 电子技术、微控制器和Visual C++等多方面的知识,研制了以较低成本实现对患者心电信号的实时的监护、记录与分析的心音信号采集和分析系统. 9.期刊论文 曾伟.陈丹.师彦荣 实用型电子听诊器的设计 -中国高新技术企业2008(17) 目的设计一种成本低,使用方便的电子听诊器供生物医学工程专业做生理学实验.方法心音信号通过心音传感器采集,然后通过前置放大嚣放大微弱 的生理心音信号,接着通过滤波电路消除噪声和高次谐波,并有助于判别杂音和附加奇的区别及性质,滤波后波形分为两路,一路信号通过功率放大器后 接扬声器;一路信号通过比较器变为数字信号,该信号再通过计数器和译码器,在LED上显示心率.结果通过扬声器可以实现多人听诊,而且可以同时把心 率显示出来.结论电子听诊器成本低,使用方便,可以用于相关专业的教学和实验. 10.期刊论文 刘国传.田学隆.朱冰莲.肖守中.王天星 一种新型心肌收缩力无创检测和评估系统的研制 -仪器仪表 学报2003,24(2) 研制了基于PC机视窗平台、Delphi 5.0软件开发工具编程,心音传感器、心音信号调理电路及ISA扩展槽电路的新型心肌收缩力检测和评估系统.提 出了一种用小波变换对心音信号进行去噪的实用方法.通过临床试验表明,该系统能无创、快速、价廉和客观量化的对心血管病人和健康人的心肌收缩 力进行检测和评估. 本文链接:http://d.g.wanfangdata.com.cn/Periodical_yzdxxb-zr200405012.aspx 下载时间:2010年3月12日
分享到:
收藏