logo资料库

SDC语法说明.pdf

第1页 / 共10页
第2页 / 共10页
第3页 / 共10页
第4页 / 共10页
第5页 / 共10页
第6页 / 共10页
第7页 / 共10页
第8页 / 共10页
资料共10页,剩余部分请下载后查看
SDC Syntax
vU-2003.03 Using the Synopsys Design Constraints Format Application Note A SDC Syntax A The following tables list the commands and arguments supported by SDC version 1.4. The arguments listed in the unsupported arguments column are supported by Design Compiler or PrimeTime, but are not valid in the SDC format. For more information about these commands, see the man pages in either Design Compiler or PrimeTime. Table A-1 General-Purpose Commands Command Supported arguments Unsupported arguments current_instance [instance] expr list set arg1 arg2 ... argn arg1 arg2 ... argn variable_name value set_hierarchy_separator separator HOME CONTENTS / A-1 E-mail your comments about Synopsys documentation to docs@synopsys.com
vU-2003.03 Using the Synopsys Design Constraints Format Application Note Table A-2 Object Access Commands Supported arguments Unsupported arguments Command all_clocks all_inputs all_outputs current_design get_cells [-level_sensitive] [-edge_triggered] [-clock clock_name] [-level_sensitive] [-edge_triggered] [-clock clock_name] [-hierarchical] [-hsc separator] patterns get_clocks1 patterns get_lib_cells [-hsc separator] patterns get_lib_pins [-hsc separator] patterns [design] [-quiet] [-regexp] [-nocase] [-exact] [-filter expression] -of_objects objects [-quiet] [-regexp] [-nocase] [-filter expression] [-quiet] [-regexp] [-nocase] [-exact] [-filter expression] -of_objects objects [-quiet] [-regexp] [-nocase] [-exact] [-filter expression] -of_objects objects HOME CONTENTS / A-2 E-mail your comments about Synopsys documentation to docs@synopsys.com
vU-2003.03 Using the Synopsys Design Constraints Format Application Note Table A-2 Object Access Commands (Continued) Supported arguments Unsupported arguments Command get_libs get_nets get_pins patterns [-hierarchical] [-hsc separator] patterns [-hierarchical] [-hsc separator] patterns [-quiet] [-regexp] [-nocase] [-exact] [-filter expression] -of_objects objects [-quiet] [-regexp] [-nocase] [-exact] [-filter expression] -of_objects objects [-quiet] [-regexp] [-nocase] [-exact] [-leaf] [-filter expression] -of_objects objects [-quiet] [-regexp] [-nocase] [-exact] [-filter expression] -of_objects objects get_ports patterns 1. SDC does not support the get_generated_clocks command. The get_clocks command is used for both standard clocks and generated clocks. HOME CONTENTS / A-3 E-mail your comments about Synopsys documentation to docs@synopsys.com
vU-2003.03 Using the Synopsys Design Constraints Format Application Note Table A-3 Timing Constraints Command Supported arguments Unsupported arguments create_clock create_generated_clock set_clock_gating_check set_clock_latency set_clock_transition -period period_value [-name clock_name] [-waveform edge_list] [-add] [source_objects] [-name clock_name] -source master_pin [-edges edge_list] [-divide_by factor] [-multiply_by factor] [-duty_cycle percent] [-invert] [-edge_shift shift_list] [-add] [-master_clock clock] source_objects [-setup setup_value] [-hold hold_value] [-rise] [-fall] [-high] [-low] [object_list] [-rise] [-fall] [-min] [-max] [-source] [-late] [-early] delay object_list [-rise] [-fall] [-min] [-max] transition clock_list HOME CONTENTS / A-4 E-mail your comments about Synopsys documentation to docs@synopsys.com
vU-2003.03 Using the Synopsys Design Constraints Format Application Note Table A-3 Timing Constraints (Continued) Command Supported arguments Unsupported arguments set_clock_uncertainty set_data_check set_disable_timing set_false_path [-from from_clock] [-to to_clock] [-rise] [-fall] [-setup] [-hold] uncertainty [object_list] [-from from_object] [-to to_object] [-rise_from from_object] [-fall_from from_object] [-rise_to to_object] [-fall_to to_object] [-setup] [-hold] [-clock clock_object] value [-from from_pin_name] [-to to_pin_name] cell_pin_list [-setup] [-hold] [-rise] [-fall] [-from from_list] [-to to_list] [-through through_list] Design Compiler option: [-restore] [-reset_path] HOME CONTENTS / A-5 E-mail your comments about Synopsys documentation to docs@synopsys.com
vU-2003.03 Using the Synopsys Design Constraints Format Application Note Table A-3 Timing Constraints (Continued) Command Supported arguments Unsupported arguments set_input_delay set_max_delay [-clock clock_name] [-clock_fall] [-level_sensitive] [-rise] [-fall] [-max] [-min] [-add_delay] [-network_latency_included] [-source_latency_included] delay_value port_pin_list [-rise] [-fall] [-from from_list] [-to to_list] [-through through_list] delay_value set_max_dynamic_power set_max_leakage_power power [unit] power [unit] set_max_time_borrow delay_value object_list [-group_path group_name] [-reset_path] set_min_delay [-reset_path] [-rise] [-fall] [-from from_list] [-to to_list] [-through through_list] delay_value set_min_porosity porosity_value [design_list] HOME CONTENTS / A-6 E-mail your comments about Synopsys documentation to docs@synopsys.com
vU-2003.03 Using the Synopsys Design Constraints Format Application Note Table A-3 Timing Constraints (Continued) Command Supported arguments Unsupported arguments set_multicycle_path set_output_delay [-reset_path] [-group_path group_name] [-setup] [-hold] [-rise] [-fall] [-start] [-end] [-from from_list] [-to to_list] [-through through_list] path_multiplier [-clock clock_name] [-clock_fall] [-level_sensitive] [-rise] [-fall] [-max] [-min] [-add_delay] [-network_latency_included] [-source_latency_included] delay_value port_pin_list set_propagated_clock object_list HOME CONTENTS / A-7 E-mail your comments about Synopsys documentation to docs@synopsys.com
vU-2003.03 Using the Synopsys Design Constraints Format Application Note Table A-4 Environment Commands Command Supported arguments Unsupported arguments set_case_analysis set_drive set_driving_cell set_fanout_load set_input_transition value port_or_pin_list [-rise] [-fall] [-min] [-max] resistance port_list [-lib_cell lib_cell_name] [-rise] [-fall] [-min] [-max] [-library lib_name] [-pin pin_name] [-from_pin from_pin_name] [-multiply_by factor] [-dont_scale] [-no_design_rule] [-clock clock_name] [-clock_fall] [-input_transition_rise rise_time] [-input_transition_fall fall_time] port_list value port_list [-rise] [-fall] [-min] [-max] [-clock clock_name] [-clock_fall] transition port_list HOME CONTENTS / A-8 E-mail your comments about Synopsys documentation to docs@synopsys.com
分享到:
收藏