logo资料库

基于CPLD的三相多波形函数发生器资料.pdf

第1页 / 共48页
第2页 / 共48页
第3页 / 共48页
第4页 / 共48页
第5页 / 共48页
第6页 / 共48页
第7页 / 共48页
第8页 / 共48页
资料共48页,剩余部分请下载后查看
1引言
2基于CPLD的三相多波形函数发生器设计
2.1波形发生器系统的设计方法及其技术指标
2.1.1设计方式概述
2.1.2三相函数多波形发生器技术指标
2.1.3三相波形发生器设计方法概述
2.2设计方案
2.2.1三相函数发生器设计原理
2.2.2多波形发生器的各个波形模块设计方式简介
2.3调试部分
2.3.1CPLD在使用中遇到的问题
2.3.2控制电路的调试
2.3.3DAC电路的调试
2.3.4程序的调试
2.3.5硬件电路的调试
结论
参考文献
附录1三相多波形函数发生器各模块的程序
附录2元件介绍
1DAC0832
2LM324
3PM7128SLC84-15芯片
附录3电路原理图
附录4英文资料及译文
1英文资料
2英文译文
致谢
慧净电子好评资料包,赠送给各位好人,好人一生平安, 慧净电子好评资料包,赠送给各位好人,好人一生平安,一生辛福一生辛福一生辛福一生辛福 慧净电子好评资料包,赠送给各位好人,好人一生平安, 慧净电子好评资料包,赠送给各位好人,好人一生平安, HJ-C52 HJ-C52 开发板------------最新配套 58585858 课 51515151 单片机视频教程 HJ-C52 慧净电子 HJ-C52 小学生 4444 年级水平的同学都能看教程学会单片机技术 慧净电子 -做人人都买得起的单片机开发板 单片机资料下载网址:WWW.HJMCU.COM
慧净电子好评资料包,赠送给各位好人,好人一生平安, 慧净电子好评资料包,赠送给各位好人,好人一生平安,一生辛福一生辛福一生辛福一生辛福 慧净电子好评资料包,赠送给各位好人,好人一生平安, 慧净电子好评资料包,赠送给各位好人,好人一生平安, 摘 要 直接数字频率合成(Direct Digital Synthesis,DDS)是 20 世纪 60 年代末出现的第 三代频率合成技术,该技术从相位概念出发,以时域采样定理为基础,在时域中进 行频率合成,它以可编程逻辑器件(CPLD)作为控制及数据处理的核心,可将波形数 据用 D/A 转换器快速恢复。基于 CPLD 和 DDS 技术的函数发生器可以实现信号波 形的多样化,同时大大提高输出信号的带宽。 整个设计采用 MAX+ plus II 开发平台,VHDL 编程实现,基于可编程逻辑器件 CPLD 设计多波形信号发生器。用 VHDL 编程实现,其设计过程简单,极易修改, 可移植性强。系统以 CPLD 为核心,采用直接数字合成技术,辅以必要的模拟电路, 构成一个波形稳定,精度较高的函数信号发生器。系统的特色在于除晶体振荡器和 A/D 转换外,全部集成在一片 CPLD 芯片上,使系统大大简化。它可输出频率、幅 度可调的正弦波、三角波、方波。另外由于 CPLD 具有可编程重置特性,因而可以 方便地更换波形数据,且简单易行,带来极大方便。 关键词:信号发生器设计;三相;VHDL;CPLD;MAX+ plus II 慧净电子 -做人人都买得起的单片机开发板 单片机资料下载网址:WWW.HJMCU.COM
慧净电子好评资料包,赠送给各位好人,好人一生平安, 慧净电子好评资料包,赠送给各位好人,好人一生平安,一生辛福一生辛福一生辛福一生辛福 慧净电子好评资料包,赠送给各位好人,好人一生平安, 慧净电子好评资料包,赠送给各位好人,好人一生平安, ABSTRACT ABSTRACT ABSTRACT ABSTRACT Direct digital frequency synthesize(DDFS) is a recently and rapidly developed technology which features high frequency resolution.This paper briefly introduces the basic principle of DDS. The basic principle and performance of CPLD chip .Then it mainly describes how to use CPLD chip to design a function generator of high accuracy. The principle of three-phase multi-signal generator based on CPLD and DDS technology is introduced.Based on these,the modules of CPLD design are given. The multi-wave signal generator is designed based on program-mable logical component CPLD . The VHDL programming realization and the MAX+ plus II development platform. Besides the crystal oscillator and the A/D transformation , the entire system completely integrates on the CPLD chip.The multi-wave signal generator may output the sine-wave,the triangle-wave,the square-wave.Then downloaded under the situation which the entire system hardware connects do not change,and finally output the special profile which user needs .The multi-wave signal generator generates wave which the conventional function signal generators can’t make.Moreover because of the programmable reset feature of the CPLD , the generator can change the wave data conveniently and practice easily . The whole design realizes by the VHDL programmer . Its design process has simple feature , easy modification and high transportation. Keywords Keywords Keywords Keywords:Signal Generator Design;Three-phase;VHDL;CPLD;MAX+ plus II 慧净电子 -做人人都买得起的单片机开发板 单片机资料下载网址:WWW.HJMCU.COM
慧净电子好评资料包,赠送给各位好人,好人一生平安, 慧净电子好评资料包,赠送给各位好人,好人一生平安,一生辛福一生辛福一生辛福一生辛福 慧净电子好评资料包,赠送给各位好人,好人一生平安, 慧净电子好评资料包,赠送给各位好人,好人一生平安, 目 录 1 引 言..................................................................................................................................1 2 基于 CPLD 的三相多波形函数发生器设计.......................................................................3 2.1 波形发生器系统的设计方法及其技术指标..........................................................3 2.1.1 设计方式概述.................................................................................................3 2.1.2 三相函数多波形发生器技术指标................................................................5 2.1.3 三相波形发生器设计方法概述.....................................................................5 2.2 设计方案..................................................................................................................6 2.2.1 三相函数发生器设计原理............................................................................6 2.2.2 多波形发生器的各个波形模块设计方式简介............................................9 2.3 调试部分................................................................................................................12 2.3.1 CPLD 在使用中遇到的问题.......................................................................12 2.3.2 控制电路的调试..........................................................................................13 2.3.3 DAC 电路的调试...........................................................................................13 2.3.4 程序的调试................................................................................................13 2.3.5 硬件电路的调试..........................................................................................13 结结结结 论论论论....................................................................................................................................15 参考文献参考文献参考文献..............................................................................................................................16 参考文献 附录 1 三相多波形函数发生器各模块的程序.................................................................17 附录 2 元件介绍.................................................................................................................23 1 DAC0832.....................................................................................................................23 2 LM324.........................................................................................................................24 3 PM7128SLC84-15 芯片..............................................................................................25 附录 3 电路原理图..............................................................................................................26 附录 4 英文资料及译文.....................................................................................................27 慧净电子 -做人人都买得起的单片机开发板 单片机资料下载网址:WWW.HJMCU.COM
慧净电子好评资料包,赠送给各位好人,好人一生平安, 慧净电子好评资料包,赠送给各位好人,好人一生平安,一生辛福一生辛福一生辛福一生辛福 慧净电子好评资料包,赠送给各位好人,好人一生平安, 慧净电子好评资料包,赠送给各位好人,好人一生平安, 1 英文资料....................................................................................................................27 2 英文译文....................................................................................................................36 致 谢....................................................................................................................................43 慧净电子 -做人人都买得起的单片机开发板 单片机资料下载网址:WWW.HJMCU.COM
1 引 言 现代电子技术的核心技术是 EDA(Electronic Design Automation)。EDA 技术就 是依赖强大的电子计算机在 EDA 开发平台上,对硬件描述语言 HDL(Hardware Description Language)系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、逻 辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试, 直至实现既定的电子线路系统功能。EDA 技术使得设计者的工作仅限于利用软件的 方式,即利用硬件描述语言和 EDA 软件来完成对系统硬件功能的实现。 EDA 使得电子技术领域各学科的界限更加模糊,更加护为包容:模拟与数字、 软件与硬件、系统与器件、行为与结构、ASIC(Application Specific Integrated Circuit, 专用集成电路)与 FPGA(Field Programmable Gate Array)等。 EDA 技术在 21 世纪得到的很大进步,例如更大规模的 FPGA 和 CPLD(Complex Programmable Logic Device)器件的不断推出;软硬件 IP 核(Intellectual Property)在电 子行业的产业领域、技术领域和设计应用领域得到进一步的确认;系统级、行为验证 级硬件描述语言(System C)的出现,使复杂电子系统和验证趋于简单。 硬件描述语言 VHDL[全名是 VHSIC(Very High Speed Integrated Circuit) Hardware Description Language]是 EDA 技术的重要组成部分,由美国国防部发起创建,由 IEEE (The Institute of Electrical and Electronics Engineers)进一步发展并发布,是硬件描述语 言的业界标准之一。 VHDL 语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模 和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。 VHDL 具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路 行为描述和系统描述的能力,VHDL 支持各种模式的设计方法:自顶向下与自顶向上 或混合方法,在面对当今电子产品生命周期缩短,需要多次重新设计以融入最新技术、 改变工艺等方面,VHDL 具有良好的适应性。 向器件作编程或适配习惯上叫做下载,这要通过下载软件平台或者下载电缆实 现。这是设计过程中的重要步骤,可以利用 MAX+PLUSⅡ软件在计算机上完成设计并 下载到目标器件中。EDA 工具软件大致可以分为 5 个模块:设计输入编辑器,仿真器, HDL 综合器,适配器,下载器等。 Direct Digital Synthesis(DDS)是 20 世纪 60 年代末出现的第三代频率合成技术。 该技术从相位概念出发,以时域采样定理为基础,在时域中进行频率合成,它以可编 程逻辑器件(CPLD)作为控制及数据处理的核心,将存于 Flash ROM 的波形数据用 D/A 1
转换器快速恢复。DDS 频率转换速度快,频率分辨率高,并在频率转换时可保持相 位的连续,因而易于实现多种调制功能。DDS 是全数字化技术,其幅度、相位、频 率均可实现程控,并可通过更换波形数据灵活实现任意波形。此外,DDS 易于单片 集成,体积小,价格低,功耗小,因此 DDS 技术近年来得到了飞速发展,其应用也 越来越广泛。基于 CPLD 和 DDS 技术的函数发生器可以实现信号波形的多样化,而 且方便可靠,简单经济,系统易于扩展,同时可大大提高输出信号的带宽。 CPLD 为连续式互连结构,器件引脚到内部逻辑单元,以及各逻辑单元之间,是 通过全局互连总线中的多路选择器或交叉矩阵选通构成信号通路。其主要特点是内部 时间延时与器件逻辑结构等无关,各模块之间提供了具有固定时延的快速互连通道, 因此可以预测时间延时,容易消除竞争冒险等现象,便于各种逻辑电路设计。 本文的 DDS 系统以 CPLD 为核心,采用直接数字合成技术,辅以必要的模拟电 路,构成一个波形稳定,精度较高的函数信号发生器。本系统的特色在于 CPLD 中集 成了大部分电路,使系统大大简化,除输出所需的正弦波、方波、三角波,还可进行 波形存储,三种波形之间的相位差均为 120°,是三相的 CPLD 系统。 DDS 的理论依据是奈奎斯特抽样定理。根据该定理,对于一个周期正弦波连续 信号,可以沿其相位轴方向,以等量的相位间隔对其进行相位/幅度抽样,得到一个 周期性的正弦信号的离散相位的幅度序列,并且对模拟幅度进行量化,量化后的幅值 采用相应的二进制数据编码。这样就把一个周期的正弦波连续信号转换成为一系列离 散的二进制数字量,然后通过一定的手段固化在只读存储器 ROM 中,每个存储单元 的地址即是相位取样地址,存储单元的内容是已经量化了的正弦波幅值。这样的一个 只读存储器就构成了一个与 2π周期内相位取样相对应的正弦函数表,因它存储的是 一个周期的正弦波波形幅值,因此又称其为正弦波形存储器。此时,正弦波形信号的 相位与时间成线性关系。根据这一基本关系,在一定频率的时钟信号作用下,通过一 个线性的计数时序发生器所产生的取样地址对已得到的正弦波波形存储器进行扫描, 进而周期性地读取波形存储器中的数据,其输出通过数模转换器及低通滤波器就可以 合成一个完整的、具有一定频率的正弦波信号。DDS 电路一般包括系统时钟、相位累 加器、相位调制器、ROM 查找表、D/A 转换器和低通滤波器(LPF)。 基于 CPLD 和 DDS 技术的函数发生器可以实现信号波形的多样化,而且方便可 靠,简单经济,系统易于扩展,同时可大大提高输出信号的带宽。 2
2 基于 CPLD 的三相多波形函数发生器设计 2.1 波形发生器系统的设计方法及其技术指标 2.1.1 设计方式概述 在电子工程设计与测试中,常常需要一些具有特殊要求的信号,要求其波形产生 多,频率方便可调。通常的信号产生器难以满足要求,市场上出售的任意信号产生器 价格昂贵。在老师指导下,结合实际要求,我设计了一种基于 CPLD 的三相多波形函 数发生器,能输出正弦波、三角波、方波等波形信号,波形信号之间的相位差均为 120˚。 复杂可编程逻辑器件 CPLD 器件可以代替许多分立元器件,从而大大降低了电路 板的复杂程度。对于 CPLD 器件的设计一般可以分为设计输入、设计实现和器件编程 三个设计步骤以及相应的功能仿真、时序仿真和器件测试三个设计验证过程。 设计输入有多种方式,目前最常用的有电路图和硬件描述语言两种,对于简单的 设计,可采用原理图的方式设计,对于复杂的设计可使用原理图或硬件描述语言 (Verilog, AHDL, VHDL 语言),或者两者混用,采用层次化设计方法,分模块层次地 进行描述。 原理图设计方法主要是按照数字系统的功能采用具体的逻辑器件组合来实现的 把这些由具体器件实现逻辑功能的电路图输入到软件当中。这种设计方法比较直观。 硬件描述语言设计方法主要把数字系统的逻辑功能用硬件语言来描述,采用 VHDL 语言描述的数字系统大致有三种;其一称为行为描述,它用几个包含着若干顺 序语句的进程描述输入与输出之间的转换关系;其二是数据流描述,用一系列的并发 信号赋值语句描述输入与输出之间的关系;其三为结构描述方式,是通过元件之间的 互联关系描述输出电路的结构。无论是原理图输入还是描述语言输入都各有其优点, 原理图设计适合于对器件比较熟悉,元件之间的互联清楚,并且需要的设计比较简单 的情况,而对于器件不熟悉,设计复杂的情况来说,使用硬件描述语言要方便一些。 设计实现:设计实现是指从设计输入文件到熔丝图文件(CPLD)的编译过程。在该 过程中,编译软件自动地对设计文件进行综合、优化,并针对所选中的器件进行映射、 布局、布线、产生相应的熔丝图或位流数据文件,在此过程中,由于编译软件给系统 管脚的分配是比较杂乱的,为了电路板布线的方便,用户可以对输入/输出管脚进行 管脚锁定。 器件编程:器件编程就是将熔丝图文件或位流数据文件下载到相应的 CPLD 器件 3
分享到:
收藏