logo资料库

Android Audio Framework.ppt

第1页 / 共128页
第2页 / 共128页
第3页 / 共128页
第4页 / 共128页
第5页 / 共128页
第6页 / 共128页
第7页 / 共128页
第8页 / 共128页
资料共128页,剩余部分请下载后查看
总线规范 • ×采样位数。 I2S(Inter-IC Sound Bus)是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准。在飞利浦公司 的I2S标准中,既规定了硬件接口规范,也规定了数字音频数据的格式。I2S有3个主要信号: • 1、串行时钟SCLK,也叫位时钟BCLK,即对应数字音频的每一位数据,SCLK有1个脉冲。SCLK的频率=2×采样频率 • 2、帧时钟LRCK,用于切换左右声道的数据。LRCK为“0”表示正在传输的是左声道的数据,为“1”则表示正在传输 的是右声道的数据。LRCK的频率等于采样频率。 • 3、串行数据SDATA。
模拟输入
数字混音
分享到:
收藏