logo资料库

【FPGA】Verilog代码实现温湿度传感器DHT11.pdf

第1页 / 共1页
资料共1页,全文预览结束
【【FPGA】】Verilog代码实现温湿度传感器 代码实现温湿度传感器DHT11 #今天也是咸鱼的一天~ 因为参加Robei 杯 是要做一个机器人,先不说这个机器人具体怎么机器法,但是和外界互通的传感器肯定少不了,通过获取外界环境数据,进行处理,然后做出 各种各样的功能。 先来讲个简单的模块,温湿度传感器DHT11。 DHT11介绍介绍 DHT11是一款价格便宜,易于使用的温度湿度测量二合一传感器。它具有超小体积、极低功耗的特点。它使用单根总线与单片机进行双向的串行数据传输,信号 传输距离可达20米以上。非常适用于对精度和实时性要求不高的温湿度测量场合。 本文将以DFRobot开源硬件平台的DHT11模块和DFRduino开发板来演示,讲解DHT11的驱动和使用。 DHT11电气参数 电源电压:3~5.5V(典型值:5V); 温度量程:0~50℃,误差 ±2℃; 湿度量程:20~90%RH,误差 ±5%RH; 采样周期:大于等于1秒/次。 这个传感器有三个脚,分别是VCC、GND、DATA。这是一个单数据口的传感器,数据口做双向传输使用,这个管脚在FPGA上要设置为inout。 工作原理 工作原理 DHT11使用单一总线通信,即DATA引脚和单片机连接的线。总线总是处于空闲状态 当单片机没有与DHT11交互时,总线处于空闲状态,在上拉电阻的作用下,处于高电平状态。 当单片机和DHT11正在通信时,总线处于通信状态,一次完整的通信过程如下: ①单片机将驱动总线的IO配置为输出模式。准备向DHT11发送数据。 ②单片机将总线拉低至少18ms,以此来发送起始信号。再将总线拉高并延时20~40us,以此来代表起始信号结束。 ③单片机将驱动总线的IO配置为输入模式,准备接收DHT11回传的数据。 ④当DHT11检测倒单片机发送的起始信号后,就开始应答,回传采集到的传感器数据。DHT11先将总线拉低80us作为对单片机的应答(ACK),然后接着将总线 拉高80us,准备回传采集到的温湿度数据。温湿度数据以固定的帧格式发送。 一帧为40个bit,而每一个bit的传输时序逻辑为:每一个bit都以50us的低电平(DHT11将总线拉低)为先导,然后紧接着DHT11拉高总线,如果这个高电平持续时 间为26~28us,则代表逻辑0,如果持续70us则代表逻辑1。 通信状态这个2个状态之间。 空闲状态和通信状态 原创文章 26获赞 39访问量 1万+ 关注 私信 展开阅读全文 作者:Ninquelote
分享到:
收藏