logo资料库

Verdi Turtorial.pdf

第1页 / 共352页
第2页 / 共352页
第3页 / 共352页
第4页 / 共352页
第5页 / 共352页
第6页 / 共352页
第7页 / 共352页
第8页 / 共352页
资料共352页,剩余部分请下载后查看
Contents
About This Book
Purpose
Audience
Book Organization
Conventions Used in This Book
Related Publications
How to Reach SpringSoft Inc.
Introduction
Overview
Technology Overview
Compilers, Interfaces and Interoperability
Databases
Analysis Engines
Visualization
User Interface
Overview
Common User Interface Features
Window Banner
Pull-down Menus
Mnemonic Keys
Bind Keys
On-line Help
Toolbars
Mouse Operation
Right Mouse Button Menus
nTrace User Interface
nTrace Hierarchy Browser Pane
nTrace Hypertext Source Code Pane
Source Code Area
Indicator Area
nTrace Message Pane
nTrace Toolbar Icons
nTrace User Interface in Interactive Mode
Interactive Mode Symbols in Indicator Area
nTrace Interactive Simulation Control Toolbar Icons
nTrace Mouse Operations
nWave User Interface
nWave Signal Pane
Signal Name
Signal Cursor
Group Name
nWave Value Pane
nWave Waveform Pane
Cursor
Marker
Zoom-scale Ruler
Full-scale Ruler
nWave Toolbar Icons
Get Signals
nWave Mouse Operations
nSchema User Interface
nSchema Toolbar Icons
nSchema Mouse Operations
nState User Interface
nState Toolbar Icons
nState Mouse Operations
Flow View User Interface
Flow View Toolbar Icons
Flow View Mouse Operations
Transaction User Interface
Detailed Transaction View in nWave
Transaction Properties
Transaction Attributes
Analyzing Transactions
nCompare User Interface
nECO User Interface
nAnalyzer User Interface
Toolbars for Other Windows
Event Sequence Window
List X Window
Before You Begin
Installation and Setup
Demo Details
Launching Techniques
Reference Source Files on Command Line
Compile Source Code into a Library
Reference Design and FSDB on the Command Line
Perform Behavior Analysis on the Command Line
Replay a File
Start Verdi without Specifying Any Source Files
Loading when Design and FSDB Hierarchies do not Match
nTrace Tutorial
Overview
Traverse the Design Hierarchy in nTrace
Access a Block’s Source Code
Find Scope
Trace Drivers and Loads
Find String
Trace Driver
Add Bookmarks
Trace Load
Trace Connectivity
Save Trace Result and Reset History
Edit Source Code
Use Active Annotation
Trace the Active Driver
nSchema Tutorial
Overview
Start nSchema
Manipulate the Schematic View
Change the Schematic View Among Instances
Enable Viewing Objects
Find an Instance or a Signal in a Schematic
Change the Color of the Selected Signal
Trace Signals
Find the Drivers of a Signal
Find the Load of a Signal
Find the Connectivity of a Signal and Generate a New Schematic from Trace Results
Show RTL Block Diagram in a More Meaningful Way
Generate Partial Schematics
Hierarchical
Flatten Window
Fan-in and Fan-out
Trace Between Two Points
Capture the Schematic View
Use Active Annotation to Show Signal Values
Change the Color or the Line Style for Annotations
nWave Tutorial
Start nWave and Open a Simulation Result File
Add Signals
Add Signals from Other Windows
Use Get Signals to Add Signals
Search for Signals to Add
Manipulate the Waveform View
Set the Cursor/Marker Positions
Zoom Cursor with Three Clicks
Fast Zoom on the Full Scale Ruler
Pan the Waveform
Use Bind Key Commands
Turn On/Off Signal Grids
Add Marker Labels
Change the Display Sequence of Signals
Search for Signal Value Transitions
Add Comments
Compress Time Ranges
Split the Waveform View
Change Signal/Group Attributes
Search for a Group
Change the Group Name
Display Hierarchical Signal Names
Modify the Display Format in the Value Window
Add Alias to Display Bus Values
Change the Spacing and Signal Height
Change Signal Color/Pattern
Create New Signals/Buses from Existing Signals
Logical Operations
Bus Creation
Expand or Collapse the Bus
Events/Complex Events
Save and Restore Signals
Save the Displayed Signals
Restore Previously Saved Signals
Create a Second Waveform Window and Restore Other Signals
nState Tutorial
Overview
Start nState
Manipulate the State Diagram View
Enable Viewing Objects
Find the Start and End States of a Transition
Create a Partial Finite State Machine View
State Animation
State Machine Analysis
Temporal Flow View Tutorial
Overview
Invoke a Temporal Flow View
Manipulate the View
Display More Information
Show Active Statements
Display Source Code
Add Signals from the Temporal Flow View to nWave
Compact Temporal Flow View
Showing Statement Flow in an nSchema Window
Temporal Register View
Debug a Design with Simulation Results Tutorial
Find the Active Driver
Generate Fan-in Cone
Debug Memory Content
Application Tutorials
Quickly Search Backward in Time for Value Causes
Invoke a Temporal Flow View
Show Active Statements
Trace This Value Automatically
Trace Another Path
Show Signals on nWave
Debug Memories
Debug Synthesizeable Memory Models
Locate the Cause of a Value on a Signal
Locate the Last Write of a Specific Address Location
Show Memory Contents
Search Values in the nMemory Window
Synchronize the nMemory Window with nWave
Change Address and Time in the nMemory Window
Customize the nMemory Window
Display Calculated Memory Contents in nWave
Debug Non-synthesizeable Memory Models
1-port Static RAM
Create a Memory Model Definition for the 1-port Static RAM
Trace the Memory Contents
Display the Memory Contents in nWave
Multiple-port Static RAM
Create a Memory Model Definition for the Multiple-port Static RAM
Debug PLI Memory Models
Create a PLI Memory Definition File
Load the PLI Memory Definition File
Trace the Content of the PLI Memory
Debug Gate vs. RTL Simulation Mismatch
Locate the Signal to Compare
Load Simulation Results and Display Waveforms
Compare the Simulation Results
Isolate the Problem
Behavior Trace for Root Cause of Simulation Mismatches
Locate the Simulation Mismatch
Behavior Trace for the Root Cause of Mismatch
Debug Unknown (X) Values
Locate the Root Cause of the “X” Value on ZFout
Visualize the Active Paths in the Temporal Flow View
Debug Finite State Machines
Display the State Register in nWave
Locate Trigger Conditions from the State Sequences
Unroll State Sequences Over Multiple Cycles
Synchronize the Temporal Register View with nState
Trace Active Control Signals for Each State Transition in nWave
Expand the Logic Cones for One of the Condition Signals
Debug with SystemVerilog
Import the Design
Load Files Directly
Use Compiled Library - Optional
Visualize SystemVerilog Source Code
Hierarchy Browser
Source Code
Schematic
View SystemVerilog Simulation Results
Waveform
Source Code
Generate Constructs
Debug with SystemVerilog Assertions (SVA)
Import the Design
Load Files Directly
Use Compiled Library - Optional
Visualize SVA Source Code
Hierarchy Browser and Source Code
Property Tools
View SVA Simulation Results
Property Tools
Waveform
Source Code
Generate Constructs
Analyze SVA Assertions
Evaluate SVA Assertions
Debug with Transactions
What is a Transaction?
Generating Transaction Data
Provided FSDB Dumpers
Transaction IP Partners
SVA Extraction
FSDB Writer API and the Open Transaction Interface (OTI)
View Transactions in nWave
View Transactions in Transaction Analyzer Window
Add/Remove Transaction Streams
Merge Transaction Streams
Manipulate the Stream View
Set the Cursor/Marker
Change the Column (Attribute) Display
Filter the Transactions
Generate Statistics
Interactive Simulation Control
Before You Begin
About the Simulators
Interactive Mode Linking with Synopsys VCS (4.2 or later)
Interactive Mode Linking MTI ModelSim (5.2 or later)
Set the Simulator Executable
Invoke Simulator and Run the Tutorial
Dump the Value Change Information to an FSDB File
Run the Simulator to Time 500
Invoke nWave
Add Signals in Watch Window
Issue Commands in the Simulator I/O Window
Set Breakpoint in Source Window
Step Through the Source Code and Annotate Simulation Values
Turn on Active Annotation
Advance the Simulation
Set Focus
Step the Simulation
Delete the Focus
Delete the Line 84 Breakpoint
Use the Breakpoints Command
Resume the Simulation
Delete the Breakpoint
Use the User-Defined Commands
Show the Data's Value
Show Variables Constantly
Collect Signals of Interest
Define User-Defined Commands
Invoke the Editing Function
Define the Save Command
Execute the Save Command
Appendix A: Supported Waveform Formats
Overview
Fast Fourier Transformers (FFT)
Introduction
Getting Data from Analog Signal
Getting Data from Synopsys HSIM FFT
Data Manipulation in FFT Window
EVCD
Analog Waveform Example
View the Analog Waveform
Manipulate the Analog Waveform
Change the Signal Height
Display the Analog Ruler
View Different Simulation Results in the Same Window
Overlap Analog Signals from Different Simulation Results
Appendix B: Supported FSM Coding Styles
Overview
One-Process (Always)
Example 1 - Verilog (one_process.v)
Example 2 - VHDL (one_process.vhd)
Two-Process (Always)
Example 1 - Verilog (two_process.v)
Example 2 - VHDL (two_process.vhd)
One-Hot Encoding
Shift Arithmetic Operation
Case-Statement vs. If-Statement
Example 1
Example 2
Gate-Like FSM
Next_State = signal
Next_State = Current_State + N
VHDL Record Type
Appendix C: Enhanced RTL Extraction
Overview
Instance Array
For Loop
Aggregate
Partial Bits Assignment
Displaying Pure Memory Blocks
Appendix D: Additional Transaction Examples
Extract Transactions Using SystemVerilog Assertions (SVA)
SVA Code
Recommended Coding Style
Unsupported Coding Style
Code Example
Analyze Transactions Using Tcl
Execute the Tcl File
Manipulate Transactions and View Statistics with Tcl
Example Tcl Script
Generate an FSDB File with Transaction Information
PLI Background
Procedures for Writing a PLI Routine
Steps for Writing FSDB
Steps to Dump Transactions to FSDB
C Files for FSDB Writer API
Use Provided C Files for PCI Transaction Dumping
Index
Verdi User’s Guide and Tutorial Novas Verification Enhancement Solutions SpringSoft, Inc. Hsinchu, Taiwan and San Jose, CA www.springsoft.com
Printing Version Copyright Printed on January 12, 2011. This manual supports VerdiTM Automated Debug System 2011.01 and higher versions. You should use the documentation from the version of the installed software you are currently using. All rights reserved. No part of this manual may be reproduced in any form or by any means without written permission of SpringSoft, Inc.: No. 25, Industry East Road IV Science-Based Industrial Park Hsinchu 300, Taiwan, R.O.C. or 2025 Gateway Place, Suite 400, San Jose, CA 95110 www.springsoft.com Copyright (c) 1996-2011 SpringSoft, Inc. Trademarks Debussy, Verdi, Siloti, nTrace, nSchema, nState, nWave, Temporal Flow View, nCompare, nECO, nAnalyzer and Active Annotation are trademarks or registered trademarks of SpringSoft USA, Inc. or SpringSoft, Inc. in the United States and other countries. The product names used in this manual are the trademarks or registered trademarks of their respective owners. Confidentiality The information in this document is confidential and is covered by a license agreement between SpringSoft and your organization. Distribution and disclosure are restricted. Restricted Rights The information contained in this document is subject to change without notice.
Contents Contents 1 About This Book Purpose......................................................................................................... 1 Audience ......................................................................................................1 Book Organization....................................................................................... 2 Conventions Used in This Book ..................................................................3 Related Publications..................................................................................... 4 How to Reach SpringSoft Inc. ..................................................................... 5 Introduction 7 Overview......................................................................................................7 Technology Overview.................................................................................. 8 Compilers, Interfaces and Interoperability ............................................. 8 Databases ................................................................................................ 9 Analysis Engines ....................................................................................9 Visualization......................................................................................... 10 User Interface 11 Overview.................................................................................................... 11 Common User Interface Features .............................................................. 12 Window Banner.................................................................................... 12 Pull-down Menus.................................................................................. 12 Mnemonic Keys.................................................................................... 12 Bind Keys ............................................................................................. 12 On-line Help ......................................................................................... 12 Toolbars ................................................................................................ 13 Mouse Operation .................................................................................. 13 Right Mouse Button Menus.................................................................. 13 nTrace User Interface................................................................................. 14 nTrace Hierarchy Browser Pane........................................................... 15 nTrace Hypertext Source Code Pane.................................................... 16 nTrace Message Pane ........................................................................... 17 nTrace Toolbar Icons............................................................................ 17 nTrace User Interface in Interactive Mode........................................... 18 nTrace Mouse Operations..................................................................... 19 nWave User Interface ................................................................................ 22 i
Contents ii nWave Signal Pane ............................................................................... 22 nWave Value Pane ................................................................................ 23 nWave Waveform Pane......................................................................... 23 nWave Toolbar Icons............................................................................ 25 Get Signals............................................................................................ 25 nWave Mouse Operations..................................................................... 27 nSchema User Interface ............................................................................. 29 nSchema Toolbar Icons......................................................................... 30 nSchema Mouse Operations ................................................................. 30 nState User Interface.................................................................................. 32 nState Toolbar Icons............................................................................. 33 nState Mouse Operations...................................................................... 33 Flow View User Interface.......................................................................... 35 Flow View Toolbar Icons ..................................................................... 36 Flow View Mouse Operations.............................................................. 36 Transaction User Interface......................................................................... 38 Detailed Transaction View in nWave................................................... 38 Transaction Properties .......................................................................... 40 Transaction Attributes .......................................................................... 40 Analyzing Transactions ........................................................................ 41 nCompare User Interface ........................................................................... 42 nECO User Interface.................................................................................. 42 nAnalyzer User Interface ........................................................................... 42 Toolbars for Other Windows ..................................................................... 43 Event Sequence Window...................................................................... 43 List X Window ..................................................................................... 43 45 Before You Begin Installation and Setup................................................................................. 45 Demo Details ............................................................................................. 46 47 Launching Techniques Reference Source Files on Command Line ............................................... 47 Compile Source Code into a Library ......................................................... 48 Reference Design and FSDB on the Command Line ................................ 48 Perform Behavior Analysis on the Command Line................................... 49 Replay a File .............................................................................................. 49 Start Verdi without Specifying Any Source Files...................................... 50 Loading when Design and FSDB Hierarchies do not Match..................... 52 nTrace Tutorial 53
Contents Overview.................................................................................................... 53 Traverse the Design Hierarchy in nTrace .................................................. 54 Access a Block’s Source Code .................................................................. 55 Find Scope ............................................................................................ 56 Trace Drivers and Loads............................................................................ 57 Find String ............................................................................................ 57 Trace Driver.......................................................................................... 58 Add Bookmarks.................................................................................... 59 Trace Load ............................................................................................ 59 Trace Connectivity................................................................................ 60 Save Trace Result and Reset History.................................................... 61 Edit Source Code ....................................................................................... 62 Use Active Annotation............................................................................... 63 Trace the Active Driver ............................................................................. 65 67 nSchema Tutorial Overview.................................................................................................... 67 Start nSchema ............................................................................................ 68 Manipulate the Schematic View ................................................................ 70 Change the Schematic View Among Instances.................................... 71 Enable Viewing Objects ....................................................................... 72 Find an Instance or a Signal in a Schematic......................................... 73 Change the Color of the Selected Signal .............................................. 75 Trace Signals.............................................................................................. 76 Find the Drivers of a Signal.................................................................. 76 Find the Load of a Signal...................................................................... 77 Find the Connectivity of a Signal and Generate a New Schematic from Trace Results................................................................................................... 77 Show RTL Block Diagram in a More Meaningful Way............................ 79 Generate Partial Schematics ...................................................................... 81 Hierarchical........................................................................................... 81 Flatten Window .................................................................................... 82 Fan-in and Fan-out................................................................................ 83 Trace Between Two Points................................................................... 85 Capture the Schematic View...................................................................... 87 Use Active Annotation to Show Signal Values ......................................... 88 Change the Color or the Line Style for Annotations ............................ 88 89 nWave Tutorial Start nWave and Open a Simulation Result File........................................ 89 Add Signals................................................................................................ 91 iii
Add Signals from Other Windows ....................................................... 91 Use Get Signals to Add Signals............................................................ 91 Manipulate the Waveform View................................................................ 94 Set the Cursor/Marker Positions........................................................... 94 Zoom Cursor with Three Clicks ........................................................... 95 Fast Zoom on the Full Scale Ruler ....................................................... 96 Pan the Waveform ................................................................................ 96 Turn On/Off Signal Grids..................................................................... 96 Add Marker Labels............................................................................... 97 Change the Display Sequence of Signals ............................................. 98 Search for Signal Value Transitions..................................................... 98 Add Comments ..................................................................................... 99 Compress Time Ranges ...................................................................... 100 Split the Waveform View ................................................................... 100 Change Signal/Group Attributes..............................................................101 Search for a Group.............................................................................. 101 Change the Group Name .................................................................... 101 Display Hierarchical Signal Names.................................................... 101 Modify the Display Format in the Value Window ............................. 101 Add Alias to Display Bus Values ....................................................... 102 Change the Spacing and Signal Height .............................................. 103 Change Signal Color/Pattern ..............................................................104 Create New Signals/Buses from Existing Signals ...................................105 Logical Operations.............................................................................. 105 Bus Creation ....................................................................................... 106 Events/Complex Events...................................................................... 107 Save and Restore Signals......................................................................... 111 Save the Displayed Signals................................................................. 111 Restore Previously Saved Signals ...................................................... 111 Create a Second Waveform Window and Restore Other Signals.......111 113 nState Tutorial Overview..................................................................................................113 Start nState............................................................................................... 114 Manipulate the State Diagram View........................................................ 116 Enable Viewing Objects ..................................................................... 116 Find the Start and End States of a Transition ..................................... 117 Create a Partial Finite State Machine View........................................ 118 State Animation ....................................................................................... 119 State Machine Analysis............................................................................ 122 Contents iv
Contents 123 Temporal Flow View Tutorial Overview..................................................................................................123 Invoke a Temporal Flow View................................................................. 124 Manipulate the View................................................................................127 Display More Information .................................................................. 127 Show Active Statements .......................................................................... 129 Display Source Code................................................................................130 Add Signals from the Temporal Flow View to nWave ............................ 132 Compact Temporal Flow View ................................................................ 133 Temporal Register View........................................................................... 135 137 Debug a Design with Simulation Results Tutorial Find the Active Driver ............................................................................. 137 Generate Fan-in Cone .............................................................................. 140 Debug Memory Content .......................................................................... 142 Application Tutorials 145 Quickly Search Backward in Time for Value Causes ............................. 145 Invoke a Temporal Flow View............................................................ 145 Show Active Statements..................................................................... 147 Trace This Value Automatically......................................................... 148 Trace Another Path............................................................................. 151 Show Signals on nWave...................................................................... 152 Debug Memories...................................................................................... 153 Debug Synthesizeable Memory Models............................................. 153 Debug Non-synthesizeable Memory Models ..................................... 162 Debug PLI Memory Models............................................................... 168 Debug Gate vs. RTL Simulation Mismatch............................................. 173 Locate the Signal to Compare............................................................. 173 Load Simulation Results and Display Waveforms............................. 175 Compare the Simulation Results ........................................................ 176 Isolate the Problem ............................................................................. 178 Behavior Trace for Root Cause of Simulation Mismatches .................... 179 Locate the Simulation Mismatch........................................................ 179 Behavior Trace for the Root Cause of Mismatch ............................... 180 Debug Unknown (X) Values ................................................................... 185 Locate the Root Cause of the “X” Value on ZFout............................ 185 Visualize the Active Paths in the Temporal Flow View ..................... 191 Debug Finite State Machines................................................................... 195 Display the State Register in nWave .................................................. 195 v
Contents vi Locate Trigger Conditions from the State Sequences ........................ 196 Unroll State Sequences Over Multiple Cycles ...................................197 Synchronize the Temporal Register View with nState ....................... 198 Trace Active Control Signals for Each State Transition in nWave.... 199 Expand the Logic Cones for One of the Condition Signals................ 200 Debug with SystemVerilog...................................................................... 201 Import the Design ............................................................................... 201 Visualize SystemVerilog Source Code............................................... 203 View SystemVerilog Simulation Results ........................................... 206 Debug with SystemVerilog Assertions (SVA) ........................................ 211 Import the Design ............................................................................... 211 Visualize SVA Source Code............................................................... 213 View SVA Simulation Results ........................................................... 216 Analyze SVA Assertions .................................................................... 224 Evaluate SVA Assertions ................................................................... 226 Debug with Transactions ......................................................................... 229 What is a Transaction?........................................................................ 229 Generating Transaction Data ..............................................................229 View Transactions in nWave..............................................................230 View Transactions in Transaction Analyzer Window........................ 233 Interactive Simulation Control................................................................. 247 Before You Begin............................................................................... 247 Set the Simulator Executable..............................................................248 Invoke Simulator and Run the Tutorial .............................................. 251 257 Appendix A: Supported Waveform Formats Overview..................................................................................................257 Fast Fourier Transformers (FFT)............................................................. 258 Introduction.........................................................................................258 Getting Data from Analog Signal....................................................... 258 Getting Data from Synopsys HSIM FFT............................................261 EVCD....................................................................................................... 264 Analog Waveform Example .................................................................... 267 View the Analog Waveform............................................................... 267 Manipulate the Analog Waveform .....................................................268 View Different Simulation Results in the Same Window .................. 269 Overlap Analog Signals from Different Simulation Results .............. 270 271 Appendix B: Supported FSM Coding Styles Overview..................................................................................................271 One-Process (Always) ............................................................................. 272
分享到:
收藏