logo资料库

DE2引脚图 Cyclone II EP2C35F672C6.pdf

第1页 / 共7页
第2页 / 共7页
第3页 / 共7页
第4页 / 共7页
第5页 / 共7页
第6页 / 共7页
第7页 / 共7页
资料共7页,全文预览结束
Altera DE2 Board Pin Table Signal Name FPGA Pin No. SW[0] SW[1] SW[2] SW[3] SW[4] SW[5] SW[6] SW[7] SW[8] SW[9] SW[10] SW[11] SW[12] SW[13] SW[14] SW[15] SW[16] SW[17] Signal Name DRAM_ADDR[0] DRAM_ADDR[1] DRAM_ADDR[2] DRAM_ADDR[3] DRAM_ADDR[4] DRAM_ADDR[5] DRAM_ADDR[6] DRAM_ADDR[7] DRAM_ADDR[8] DRAM_ADDR[9] DRAM_ADDR[10] DRAM_ADDR[11] DRAM_DQ[0] DRAM_DQ[1] DRAM_DQ[2] DRAM_DQ[3] DRAM_DQ[4] DRAM_DQ[5] DRAM_DQ[6] DRAM_DQ[7] DRAM_DQ[8] DRAM_DQ[9] DRAM_DQ[10] DRAM_DQ[11] DRAM_DQ[12] DRAM_DQ[13] DRAM_DQ[14] DRAM_DQ[15] DRAM_BA_0 DRAM_BA_1 DRAM_LDQM DRAM_UDQM DRAM_RAS_N DRAM_CAS_N DRAM_CKE DRAM_CLK DRAM_WE_N DRAM_CS_N Signal Name FL_ADDR[0] FL_ADDR[1] FL_ADDR[2] FL_ADDR[3] FL_ADDR[4] PIN_N25 PIN_N26 PIN_P25 PIN_AE14 PIN_AF14 PIN_AD13 PIN_AC13 PIN_C13 PIN_B13 PIN_A13 PIN_N1 PIN_P1 PIN_P2 PIN_T7 PIN_U3 PIN_U4 PIN_V1 PIN_V2 FPGA Pin No. PIN_T6 PIN_V4 PIN_V3 PIN_W2 PIN_W1 PIN_U6 PIN_U7 PIN_U5 PIN_W4 PIN_W3 PIN_Y1 PIN_V5 PIN_V6 PIN_AA2 PIN_AA1 PIN_Y3 PIN_Y4 PIN_R8 PIN_T8 PIN_V7 PIN_W6 PIN_AB2 PIN_AB1 PIN_AA4 PIN_AA3 PIN_AC2 PIN_AC1 PIN_AA5 PIN_AE2 PIN_AE3 PIN_AD2 PIN_Y5 PIN_AB4 PIN_AB3 PIN_AA6 PIN_AA7 PIN_AD3 PIN_AC3 FPGA Pin No. PIN_AC18 PIN_AB18 PIN_AE19 PIN_AF19 PIN_AE18 Description Toggle Switch[0] Toggle Switch[1] Toggle Switch[2] Toggle Switch[3] Toggle Switch[4] Toggle Switch[5] Toggle Switch[6] Toggle Switch[7] Toggle Switch[8] Toggle Switch[9] Toggle Switch[10] Toggle Switch[11] Toggle Switch[12] Toggle Switch[13] Toggle Switch[14] Toggle Switch[15] Toggle Switch[16] Toggle Switch[17] Description SDRAM Address[0] SDRAM Address[1] SDRAM Address[2] SDRAM Address[3] SDRAM Address[4] SDRAM Address[5] SDRAM Address[6] SDRAM Address[7] SDRAM Address[8] SDRAM Address[9] SDRAM Address[10] SDRAM Address[11] SDRAM Data[0] SDRAM Data[1] SDRAM Data[2] SDRAM Data[3] SDRAM Data[4] SDRAM Data[5] SDRAM Data[6] SDRAM Data[7] SDRAM Data[8] SDRAM Data[9] SDRAM Data[10] SDRAM Data[11] SDRAM Data[12] SDRAM Data[13] SDRAM Data[14] SDRAM Data[15] SDRAM Bank Address[0] SDRAM Bank Address[1] SDRAM Low-byte Data Mask SDRAM High-byte Data Mask SDRAM Row Address Strobe SDRAM Column Address Strobe SDRAM Clock Enable SDRAM Clock SDRAM Write Enable SDRAM Chip Select Description FLASH Address[0] FLASH Address[1] FLASH Address[2] FLASH Address[3] FLASH Address[4]
Altera DE2 Board Pin Table FL_ADDR[5] FL_ADDR[6] FL_ADDR[7] FL_ADDR[8] FL_ADDR[9] FL_ADDR[10] FL_ADDR[11] FL_ADDR[12] FL_ADDR[13] FL_ADDR[14] FL_ADDR[15] FL_ADDR[16] FL_ADDR[17] FL_ADDR[18] FL_ADDR[19] FL_ADDR[20] FL_ADDR[21] FL_DQ[0] FL_DQ[1] FL_DQ[2] FL_DQ[3] FL_DQ[4] FL_DQ[5] FL_DQ[6] FL_DQ[7] FL_CE_N FL_OE_N FL_RST_N FL_WE_N Signal Name SRAM_ADDR[0] SRAM_ADDR[1] SRAM_ADDR[2] SRAM_ADDR[3] SRAM_ADDR[4] SRAM_ADDR[5] SRAM_ADDR[6] SRAM_ADDR[7] SRAM_ADDR[8] SRAM_ADDR[9] SRAM_ADDR[10] SRAM_ADDR[11] SRAM_ADDR[12] SRAM_ADDR[13] SRAM_ADDR[14] SRAM_ADDR[15] SRAM_ADDR[16] SRAM_ADDR[17] SRAM_DQ[0] SRAM_DQ[1] SRAM_DQ[2] SRAM_DQ[3] SRAM_DQ[4] SRAM_DQ[5] SRAM_DQ[6] SRAM_DQ[7] SRAM_DQ[8] SRAM_DQ[9] SRAM_DQ[10] SRAM_DQ[11] SRAM_DQ[12] SRAM_DQ[13] SRAM_DQ[14] SRAM_DQ[15] PIN_AF18 PIN_Y16 PIN_AA16 PIN_AD17 PIN_AC17 PIN_AE17 PIN_AF17 PIN_W16 PIN_W15 PIN_AC16 PIN_AD16 PIN_AE16 PIN_AC15 PIN_AB15 PIN_AA15 PIN_Y15 PIN_Y14 PIN_AD19 PIN_AC19 PIN_AF20 PIN_AE20 PIN_AB20 PIN_AC20 PIN_AF21 PIN_AE21 PIN_V17 PIN_W17 PIN_AA18 PIN_AA17 FPGA Pin No. PIN_AE4 PIN_AF4 PIN_AC5 PIN_AC6 PIN_AD4 PIN_AD5 PIN_AE5 PIN_AF5 PIN_AD6 PIN_AD7 PIN_V10 PIN_V9 PIN_AC7 PIN_W8 PIN_W10 PIN_Y10 PIN_AB8 PIN_AC8 PIN_AD8 PIN_AE6 PIN_AF6 PIN_AA9 PIN_AA10 PIN_AB10 PIN_AA11 PIN_Y11 PIN_AE7 PIN_AF7 PIN_AE8 PIN_AF8 PIN_W11 PIN_W12 PIN_AC9 PIN_AC10 FLASH Address[5] FLASH Address[6] FLASH Address[7] FLASH Address[8] FLASH Address[9] FLASH Address[10] FLASH Address[11] FLASH Address[12] FLASH Address[13] FLASH Address[14] FLASH Address[15] FLASH Address[16] FLASH Address[17] FLASH Address[18] FLASH Address[19] FLASH Address[20] FLASH Address[21] FLASH Data[0] FLASH Data[1] FLASH Data[2] FLASH Data[3] FLASH Data[4] FLASH Data[5] FLASH Data[6] FLASH Data[7] FLASH Chip Enable FLASH Output Enable FLASH Reset FLASH Write Enable Description SRAM Address[0] SRAM Address[1] SRAM Address[2] SRAM Address[3] SRAM Address[4] SRAM Address[5] SRAM Address[6] SRAM Address[7] SRAM Address[8] SRAM Address[9] SRAM Address[10] SRAM Address[11] SRAM Address[12] SRAM Address[13] SRAM Address[14] SRAM Address[15] SRAM Address[16] SRAM Address[17] SRAM Data[0] SRAM Data[1] SRAM Data[2] SRAM Data[3] SRAM Data[4] SRAM Data[5] SRAM Data[6] SRAM Data[7] SRAM Data[8] SRAM Data[9] SRAM Data[10] SRAM Data[11] SRAM Data[12] SRAM Data[13] SRAM Data[14] SRAM Data[15]
Altera DE2 Board Pin Table SRAM_WE_N SRAM_OE_N SRAM_UB_N SRAM_LB_N SRAM_CE_N Signal Name OTG_ADDR[0] OTG_ADDR[1] OTG_DATA[0] OTG_DATA[1] OTG_DATA[2] OTG_DATA[3] OTG_DATA[4] OTG_DATA[5] OTG_DATA[6] OTG_DATA[7] OTG_DATA[8] OTG_DATA[9] OTG_DATA[10] OTG_DATA[11] OTG_DATA[12] OTG_DATA[13] OTG_DATA[14] OTG_DATA[15] OTG_CS_N OTG_RD_N OTG_WR_N OTG_RST_N OTG_INT0 OTG_INT1 OTG_DACK0_N OTG_DACK1_N OTG_DREQ0 OTG_DREQ1 OTG_FSPEED OTG_LSPEED Signal Name LCD_DATA[0] LCD_DATA[1] LCD_DATA[2] LCD_DATA[3] LCD_DATA[4] LCD_DATA[5] LCD_DATA[6] LCD_DATA[7] LCD_RW LCD_EN LCD_RS LCD_ON LCD_BLON Signal Name SD_DAT SD_DAT3 SD_CMD SD_CLK PIN_AE10 PIN_AD10 PIN_AF9 PIN_AE9 PIN_AC11 FPGA Pin No. PIN_K7 PIN_F2 PIN_F4 PIN_D2 PIN_D1 PIN_F7 PIN_J5 PIN_J8 PIN_J7 PIN_H6 PIN_E2 PIN_E1 PIN_K6 PIN_K5 PIN_G4 PIN_G3 PIN_J6 PIN_K8 PIN_F1 PIN_G2 PIN_G1 PIN_G5 PIN_B3 PIN_C3 PIN_C2 PIN_B2 PIN_F6 PIN_E5 PIN_F3 PIN_G6 FPGA Pin No. PIN_J1 PIN_J2 PIN_H1 PIN_H2 PIN_J4 PIN_J3 PIN_H4 PIN_H3 PIN_K4 PIN_K3 PIN_K1 PIN_L4 PIN_K2 FPGA Pin No. PIN_AD24 PIN_AC23 PIN_Y21 PIN_AD25 Signal Name FPGA Pin No. TDI TCS TCK TDO Signal Name IRDA_TXD IRDA_RXD Signal Name PIN_B14 PIN_A14 PIN_D14 PIN_F14 FPGA Pin No. PIN_AE24 PIN_AE25 FPGA Pin No. SRAM Write Enable SRAM Output Enable SRAM High-byte Data Mask SRAM Low-byte Data Mask SRAM Chip Enable Description ISP1362 Address[0] ISP1362 Address[1] ISP1362 Data[0] ISP1362 Data[1] ISP1362 Data[2] ISP1362 Data[3] ISP1362 Data[4] ISP1362 Data[5] ISP1362 Data[6] ISP1362 Data[7] ISP1362 Data[8] ISP1362 Data[9] ISP1362 Data[10] ISP1362 Data[11] ISP1362 Data[12] ISP1362 Data[13] ISP1362 Data[14] ISP1362 Data[15] ISP1362 Chip Select ISP1362 Read ISP1362 Write ISP1362 Reset ISP1362 Interrupt 0 ISP1362 Interrupt 1 ISP1362 DMA Acknowledge 0 ISP1362 DMA Acknowledge 1 ISP1362 DMA Request 0 ISP1362 DMA Request 1 USB Full Speed, 0 = Enable, Z = Disable USB Low Speed, 0 = Enable, Z = Disable Description LCD Data[0] LCD Data[1] LCD Data[2] LCD Data[3] LCD Data[4] LCD Data[5] LCD Data[6] LCD Data[7] LCD Read/Write Select, 0 = Write, 1 = Read LCD Enable LCD Command/Data Select, 0 = Command, 1 = Data LCD Power ON/OFF LCD Back Light ON/OFF Description SD Card Data SD Card Data 3 SD Card Command Signal SD Card Clock Description CPLD -> FPGA (Data in) CPLD -> FPGA (CS) CPLD -> FPGA (Clock) FPGA -> CPLD (Data out) Description IRDA Transmitter IRDA Receiver Description
Altera DE2 Board Pin Table HEX0[0] HEX0[1] HEX0[2] HEX0[3] HEX0[4] HEX0[5] HEX0[6] HEX1[0] HEX1[1] HEX1[2] HEX1[3] HEX1[4] HEX1[5] HEX1[6] HEX2[0] HEX2[1] HEX2[2] HEX2[3] HEX2[4] HEX2[5] HEX2[6] HEX3[0] HEX3[1] HEX3[2] HEX3[3] HEX3[4] HEX3[5] HEX3[6] HEX4[0] HEX4[1] HEX4[2] HEX4[3] HEX4[4] HEX4[5] HEX4[6] HEX5[0] HEX5[1] HEX5[2] HEX5[3] HEX5[4] HEX5[5] HEX5[6] HEX6[0] HEX6[1] HEX6[2] HEX6[3] HEX6[4] HEX6[5] HEX6[6] HEX7[0] HEX7[1] HEX7[2] HEX7[3] HEX7[4] HEX7[5] HEX7[6] PIN_AF10 PIN_AB12 PIN_AC12 PIN_AD11 PIN_AE11 PIN_V14 PIN_V13 PIN_V20 PIN_V21 PIN_W21 PIN_Y22 PIN_AA24 PIN_AA23 PIN_AB24 PIN_AB23 PIN_V22 PIN_AC25 PIN_AC26 PIN_AB26 PIN_AB25 PIN_Y24 PIN_Y23 PIN_AA25 PIN_AA26 PIN_Y26 PIN_Y25 PIN_U22 PIN_W24 PIN_U9 PIN_U1 PIN_U2 PIN_T4 PIN_R7 PIN_R6 PIN_T3 PIN_T2 PIN_P6 PIN_P7 PIN_T9 PIN_R5 PIN_R4 PIN_R3 PIN_R2 PIN_P4 PIN_P3 PIN_M2 PIN_M3 PIN_M5 PIN_M4 PIN_L3 PIN_L2 PIN_L9 PIN_L6 PIN_L7 PIN_P9 PIN_N9 Signal Name FPGA Pin No. KEY[0] KEY[1] KEY[2] KEY[3] Signal Name LEDR[0] LEDR[1] PIN_G26 PIN_N23 PIN_P23 PIN_W26 FPGA Pin No. PIN_AE23 PIN_AF23 Seven Segment Digit 0[0] Seven Segment Digit 0[1] Seven Segment Digit 0[2] Seven Segment Digit 0[3] Seven Segment Digit 0[4] Seven Segment Digit 0[5] Seven Segment Digit 0[6] Seven Segment Digit 1[0] Seven Segment Digit 1[1] Seven Segment Digit 1[2] Seven Segment Digit 1[3] Seven Segment Digit 1[4] Seven Segment Digit 1[5] Seven Segment Digit 1[6] Seven Segment Digit 2[0] Seven Segment Digit 2[1] Seven Segment Digit 2[2] Seven Segment Digit 2[3] Seven Segment Digit 2[4] Seven Segment Digit 2[5] Seven Segment Digit 2[6] Seven Segment Digit 3[0] Seven Segment Digit 3[1] Seven Segment Digit 3[2] Seven Segment Digit 3[3] Seven Segment Digit 3[4] Seven Segment Digit 3[5] Seven Segment Digit 3[6] Seven Segment Digit 4[0] Seven Segment Digit 4[1] Seven Segment Digit 4[2] Seven Segment Digit 4[3] Seven Segment Digit 4[4] Seven Segment Digit 4[5] Seven Segment Digit 4[6] Seven Segment Digit 5[0] Seven Segment Digit 5[1] Seven Segment Digit 5[2] Seven Segment Digit 5[3] Seven Segment Digit 5[4] Seven Segment Digit 5[5] Seven Segment Digit 5[6] Seven Segment Digit 6[0] Seven Segment Digit 6[1] Seven Segment Digit 6[2] Seven Segment Digit 6[3] Seven Segment Digit 6[4] Seven Segment Digit 6[5] Seven Segment Digit 6[6] Seven Segment Digit 7[0] Seven Segment Digit 7[1] Seven Segment Digit 7[2] Seven Segment Digit 7[3] Seven Segment Digit 7[4] Seven Segment Digit 7[5] Seven Segment Digit 7[6] Description Pushbutton[0] Pushbutton[1] Pushbutton[2] Pushbutton[3] Description LED Red[0] LED Red[1]
Altera DE2 Board Pin Table LEDR[2] LEDR[3] LEDR[4] LEDR[5] LEDR[6] LEDR[7] LEDR[8] LEDR[9] LEDR[10] LEDR[11] LEDR[12] LEDR[13] LEDR[14] LEDR[15] LEDR[16] LEDR[17] LEDG[0] LEDG[1] LEDG[2] LEDG[3] LEDG[4] LEDG[5] LEDG[6] LEDG[7] LEDG[8] Signal Name CLOCK_27 CLOCK_50 EXT_CLOCK Signal Name UART_RXD UART_TXD Signal Name PS2_CLK PS2_DAT Signal Name I2C_SCLK I2C_SDAT Signal Name TD_DATA[0] TD_DATA[1] TD_DATA[2] TD_DATA[3] TD_DATA[4] TD_DATA[5] TD_DATA[6] TD_DATA[7] TD_HS TD_VS TD_RESET Signal Name VGA_R[0] VGA_R[1] VGA_R[2] VGA_R[3] VGA_R[4] VGA_R[5] VGA_R[6] VGA_R[7] VGA_R[8] VGA_R[9] VGA_G[0] VGA_G[1] VGA_G[2] PIN_AB21 PIN_AC22 PIN_AD22 PIN_AD23 PIN_AD21 PIN_AC21 PIN_AA14 PIN_Y13 PIN_AA13 PIN_AC14 PIN_AD15 PIN_AE15 PIN_AF13 PIN_AE13 PIN_AE12 PIN_AD12 PIN_AE22 PIN_AF22 PIN_W19 PIN_V18 PIN_U18 PIN_U17 PIN_AA20 PIN_Y18 PIN_Y12 FPGA Pin No. PIN_D13 PIN_N2 PIN_P26 FPGA Pin No. PIN_C25 PIN_B25 FPGA Pin No. PIN_D26 PIN_C24 FPGA Pin No. PIN_A6 PIN_B6 FPGA Pin No. PIN_J9 PIN_E8 PIN_H8 PIN_H10 PIN_G9 PIN_F9 PIN_D7 PIN_C7 PIN_D5 PIN_K9 PIN_C4 FPGA Pin No. PIN_C8 PIN_F10 PIN_G10 PIN_D9 PIN_C9 PIN_A8 PIN_H11 PIN_H12 PIN_F11 PIN_E10 PIN_B9 PIN_A9 PIN_C10 LED Red[2] LED Red[3] LED Red[4] LED Red[5] LED Red[6] LED Red[7] LED Red[8] LED Red[9] LED Red[10] LED Red[11] LED Red[12] LED Red[13] LED Red[14] LED Red[15] LED Red[16] LED Red[17] LED Green[0] LED Green[1] LED Green[2] LED Green[3] LED Green[4] LED Green[5] LED Green[6] LED Green[7] LED Green[8] Description On Board 27 MHz On Board 50 MHz External Clock Description UART Receiver UART Transmitter Description PS2 Data PS2 Clock Description I2C Data I2C Clock Description TV Decoder Data[0] TV Decoder Data[1] TV Decoder Data[2] TV Decoder Data[3] TV Decoder Data[4] TV Decoder Data[5] TV Decoder Data[6] TV Decoder Data[7] TV Decoder H_SYNC TV Decoder V_SYNC TV Decoder Reset Description VGA Red[0] VGA Red[1] VGA Red[2] VGA Red[3] VGA Red[4] VGA Red[5] VGA Red[6] VGA Red[7] VGA Red[8] VGA Red[9] VGA Green[0] VGA Green[1] VGA Green[2]
Altera DE2 Board Pin Table VGA_G[3] VGA_G[4] VGA_G[5] VGA_G[6] VGA_G[7] VGA_G[8] VGA_G[9] VGA_B[0] VGA_B[1] VGA_B[2] VGA_B[3] VGA_B[4] VGA_B[5] VGA_B[6] VGA_B[7] VGA_B[8] VGA_B[9] VGA_CLK VGA_BLANK VGA_HS VGA_VS VGA_SYNC Signal Name AUD_ADCLRCK AUD_ADCDAT AUD_DACLRCK AUD_DACDAT AUD_XCK AUD_BCLK Signal Name ENET_DATA[0] ENET_DATA[1] ENET_DATA[2] ENET_DATA[3] ENET_DATA[4] ENET_DATA[5] ENET_DATA[6] ENET_DATA[7] ENET_DATA[8] ENET_DATA[9] ENET_DATA[10] ENET_DATA[11] ENET_DATA[12] ENET_DATA[13] ENET_DATA[14] ENET_DATA[15] ENET_CLK ENET_CMD ENET_CS_N ENET_INT ENET_RD_N ENET_WR_N ENET_RST_N Signal Name GPIO_0[0] GPIO_0[1] GPIO_0[2] GPIO_0[3] GPIO_0[4] GPIO_0[5] GPIO_0[6] GPIO_0[7] GPIO_0[8] GPIO_0[9] PIN_D10 PIN_B10 PIN_A10 PIN_G11 PIN_D11 PIN_E12 PIN_D12 PIN_J13 PIN_J14 PIN_F12 PIN_G12 PIN_J10 PIN_J11 PIN_C11 PIN_B11 PIN_C12 PIN_B12 PIN_B8 PIN_D6 PIN_A7 PIN_D8 PIN_B7 FPGA Pin No. PIN_C5 PIN_B5 PIN_C6 PIN_A4 PIN_A5 PIN_B4 FPGA Pin No. PIN_D17 PIN_C17 PIN_B18 PIN_A18 PIN_B17 PIN_A17 PIN_B16 PIN_B15 PIN_B20 PIN_A20 PIN_C19 PIN_D19 PIN_B19 PIN_A19 PIN_E18 PIN_D18 PIN_B24 PIN_A21 PIN_A23 PIN_B21 PIN_A22 PIN_B22 PIN_B23 FPGA Pin No. PIN_D25 PIN_J22 PIN_E26 PIN_E25 PIN_F24 PIN_F23 PIN_J21 PIN_J20 PIN_F25 PIN_F26 VGA Green[3] VGA Green[4] VGA Green[5] VGA Green[6] VGA Green[7] VGA Green[8] VGA Green[9] VGA Blue[0] VGA Blue[1] VGA Blue[2] VGA Blue[3] VGA Blue[4] VGA Blue[5] VGA Blue[6] VGA Blue[7] VGA Blue[8] VGA Blue[9] VGA Clock VGA BLANK VGA H_SYNC VGA V_SYNC VGA SYNC Description Audio CODEC ADC LR Clock Audio CODEC ADC Data Audio CODEC DAC LR Clock Audio CODEC DAC Data Audio CODEC Chip Clock Audio CODEC Bit-Stream Clock Description DM9000A DATA[0] DM9000A DATA[1] DM9000A DATA[2] DM9000A DATA[3] DM9000A DATA[4] DM9000A DATA[5] DM9000A DATA[6] DM9000A DATA[7] DM9000A DATA[8] DM9000A DATA[9] DM9000A DATA[10] DM9000A DATA[11] DM9000A DATA[12] DM9000A DATA[13] DM9000A DATA[14] DM9000A DATA[15] DM9000A Clock 25 MHz DM9000A Command/Data Select, 0 = Command, 1 = Data DM9000A Chip Select DM9000A Interrupt DM9000A Read DM9000A Write DM9000A Reset Description GPIO Connection 0[0] GPIO Connection 0[1] GPIO Connection 0[2] GPIO Connection 0[3] GPIO Connection 0[4] GPIO Connection 0[5] GPIO Connection 0[6] GPIO Connection 0[7] GPIO Connection 0[8] GPIO Connection 0[9]
Altera DE2 Board Pin Table GPIO_0[10] GPIO_0[11] GPIO_0[12] GPIO_0[13] GPIO_0[14] GPIO_0[15] GPIO_0[16] GPIO_0[17] GPIO_0[18] GPIO_0[19] GPIO_0[20] GPIO_0[21] GPIO_0[22] GPIO_0[23] GPIO_0[24] GPIO_0[25] GPIO_0[26] GPIO_0[27] GPIO_0[28] GPIO_0[29] GPIO_0[30] GPIO_0[31] GPIO_0[32] GPIO_0[33] GPIO_0[34] GPIO_0[35] GPIO_1[0] GPIO_1[1] GPIO_1[2] GPIO_1[3] GPIO_1[4] GPIO_1[5] GPIO_1[6] GPIO_1[7] GPIO_1[8] GPIO_1[9] GPIO_1[10] GPIO_1[11] GPIO_1[12] GPIO_1[13] GPIO_1[14] GPIO_1[15] GPIO_1[16] GPIO_1[17] GPIO_1[18] GPIO_1[19] GPIO_1[20] GPIO_1[21] GPIO_1[22] GPIO_1[23] GPIO_1[24] GPIO_1[25] GPIO_1[26] GPIO_1[27] GPIO_1[28] GPIO_1[29] GPIO_1[30] GPIO_1[31] GPIO_1[32] GPIO_1[33] GPIO_1[34] GPIO_1[35] PIN_N18 PIN_P18 PIN_G23 PIN_G24 PIN_K22 PIN_G25 PIN_H23 PIN_H24 PIN_J23 PIN_J24 PIN_H25 PIN_H26 PIN_H19 PIN_K18 PIN_K19 PIN_K21 PIN_K23 PIN_K24 PIN_L21 PIN_L20 PIN_J25 PIN_J26 PIN_L23 PIN_L24 PIN_L25 PIN_L19 PIN_K25 PIN_K26 PIN_M22 PIN_M23 PIN_M19 PIN_M20 PIN_N20 PIN_M21 PIN_M24 PIN_M25 PIN_N24 PIN_P24 PIN_R25 PIN_R24 PIN_R20 PIN_T22 PIN_T23 PIN_T24 PIN_T25 PIN_T18 PIN_T21 PIN_T20 PIN_U26 PIN_U25 PIN_U23 PIN_U24 PIN_R19 PIN_T19 PIN_U20 PIN_U21 PIN_V26 PIN_V25 PIN_V24 PIN_V23 PIN_W25 PIN_W23 GPIO Connection 0[10] GPIO Connection 0[11] GPIO Connection 0[12] GPIO Connection 0[13] GPIO Connection 0[14] GPIO Connection 0[15] GPIO Connection 0[16] GPIO Connection 0[17] GPIO Connection 0[18] GPIO Connection 0[19] GPIO Connection 0[20] GPIO Connection 0[21] GPIO Connection 0[22] GPIO Connection 0[23] GPIO Connection 0[24] GPIO Connection 0[25] GPIO Connection 0[26] GPIO Connection 0[27] GPIO Connection 0[28] GPIO Connection 0[29] GPIO Connection 0[30] GPIO Connection 0[31] GPIO Connection 0[32] GPIO Connection 0[33] GPIO Connection 0[34] GPIO Connection 0[35] GPIO Connection 1[0] GPIO Connection 1[1] GPIO Connection 1[2] GPIO Connection 1[3] GPIO Connection 1[4] GPIO Connection 1[5] GPIO Connection 1[6] GPIO Connection 1[7] GPIO Connection 1[8] GPIO Connection 1[9] GPIO Connection 1[10] GPIO Connection 1[11] GPIO Connection 1[12] GPIO Connection 1[13] GPIO Connection 1[14] GPIO Connection 1[15] GPIO Connection 1[16] GPIO Connection 1[17] GPIO Connection 1[18] GPIO Connection 1[19] GPIO Connection 1[20] GPIO Connection 1[21] GPIO Connection 1[22] GPIO Connection 1[23] GPIO Connection 1[24] GPIO Connection 1[25] GPIO Connection 1[26] GPIO Connection 1[27] GPIO Connection 1[28] GPIO Connection 1[29] GPIO Connection 1[30] GPIO Connection 1[31] GPIO Connection 1[32] GPIO Connection 1[33] GPIO Connection 1[34] GPIO Connection 1[35]
分享到:
收藏