logo资料库

VHDL语言 8—3编码器.doc

第1页 / 共7页
第2页 / 共7页
第3页 / 共7页
第4页 / 共7页
第5页 / 共7页
第6页 / 共7页
第7页 / 共7页
资料共7页,全文预览结束
[VHD 普通 8—3 编码器设计实验报告] [100212114 黄海乐 10 电子 1 班]
前言 以前我没有太多接触使用 VHDL 语言编写程序下载到 硬件实现,但经过老师的点拨,我们自己领悟理解, 再加上我们组员之间分工合作,终于获得成功。VHDL 语言是一门比较抽象的语言,因为在单单听老师讲课, 我们觉得云里雾里的,无法理解通透。可现在我们自 己动手编写 VHDL 程序,实现硬件下载实现,虽说走 了不少弯路,但我们从中获益良多。这份报告中详细 记录我们编写 8——3 编码器程序的步骤,由于我们的 能力有限,报告还存在不少的不足,敬请谅解并指出 我的不足,谢谢!
目录 设计要求............................................... 设计目的............................................... 设计方案................................................ 设计原理................................................ 硬件要求................................................ 设计步骤................................................. 源程序 .................................................... 收获和体会...............................................
任务分工 王伟峰:负责编写程序 刘益锋:负责检查校正 黄海乐:负责实现程序下载 和硬件实现
VHDL 普通 8—3 编码器设计实验报告 设计要求:使用 VHDL 顺序语句编写出普通 8——3 编码器的程序。 设计目的:设计普通 8—3 编码器,实现输入 8 个互斥的信号,输出 3 位二进制代码,并仿真,下载。 设计方案: 1.硬件主芯片选 EPM240T100C5。 2.8 种信号用八个拨码开关分别为 30,33,34,35,36,37,38,39 置 0 或 1 输入,3 个 LED 灯 70,71,72 为输出表示. 3.组选的输出 r=“1110”,即是选 ROW1,ROW2,ROW3,ROW4 中 ROW4 组 LED 灯,芯片引脚分别为 69,68,67,66. 设计原理:通过编写普通 8——3 编码器的程序,编译成功后通过下 栽芯片传输到 EPM240T100C5 芯片存储并功能体现。 硬件要求:主芯片 EPM240T100C5,8 个开关,3 个 LED 等。 设计步骤: 1.编写好程序,进行检查教正并进行仿真 2.检查测试硬件电路板是否功能完好 3.正确分配芯片引脚并再次进行编译 4.编译成功后,查看电脑是否和硬件电路板建立联系,如果有,就开 始程序下载,当听到蜂鸣声时,说明程序下载成功,如果没有,则需 要重新检查前面的步骤哪里做错
源代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity bianma is port(i:in std_logic_vector(7 downto 0); y:out std_logic_vector(2 downto 0); r:out std_logic); end bianma; architecture one of bianma is Begin r="1110"; process(i) begin case i is when "00000001"=>y<="001"; when "00000010"=>y<="001"; when "00000100"=>y<="010"; when "00001000"=>y<="011"; when "00010000"=>y<="100"; when "00100000"=>y<="101"; when "01000000"=>y<="110"; when "10000000"=>y<="111"; when others=>null; end case; end process; end one; 仿真波形如下: 3 个 LED 灯显示:红灯为高位,黄灯为低位。
输出 000 001 010 011 100 101 110 111 硬件实现 灯亮情况 全灭 黄灯亮 绿灯亮 黄绿灯亮 红灯亮 红绿灯亮 红黄灯亮 全亮 收获和体会:通过这次编写程序并硬件下栽实现,我们对 VHDL 语言理解更加透彻,使用起来越发得心应手。同时我 们也意识到 VHDL 语言的抽象性,只有多用才能更加熟悉 它的应用,相信只要我们努力,一定能学着这门语言。
分享到:
收藏