logo资料库

Vivado下的TCL命令集合.pdf

第1页 / 共1557页
第2页 / 共1557页
第3页 / 共1557页
第4页 / 共1557页
第5页 / 共1557页
第6页 / 共1557页
第7页 / 共1557页
第8页 / 共1557页
资料共1557页,剩余部分请下载后查看
Vivado Design Suite Tcl Command Reference Guide
Revision History
Commands Deprecated as of 2016.1
Commands Added in 2016.1
Commands Modified in 2016.1
Ch. 1: Introduction
Overview of Tcl Capabilities in Vivado
Launching the Vivado Design Suite
Tcl Shell Mode
Tcl Batch Mode
Vivado IDE Mode
Tcl Journal Files
Tcl Help
Scripting in Tcl
Tcl Initialization Scripts
Sourcing a Tcl Script
Using Tcl.pre and Tcl.post Hook Scripts
General Tcl Syntax Guidelines
Using Tcl Eval
Using Special Characters
General Syntax Structure
Example Syntax
Unknown Commands
Return Codes
First Class Tcl Objects and Relationships
Object Types and Definitions
Querying Objects
Object Properties
Filtering Based on Properties
Handling Lists of Objects
Object Relationships
Errors, Warnings, Critical Warnings, and Info Messages
Ch. 2: Tcl Commands Listed by Category
Categories
Bitgen:
Board:
CreatePeripheral:
DRC:
Debug:
FileIO:
Floorplan:
GUIControl:
Hardware:
IPFlow:
IPIntegrator:
Memory:
Methodology:
Netlist:
Object:
PinPlanning:
Power:
Project:
PropertyAndParameter:
Report:
SDC:
Simulation:
SysGen:
Tcl:
Timing:
ToolLaunch:
Tools:
Waveform:
XDC:
XPS:
projutils:
simulation:
synthesis:
user-written:
xilinxtclstore:
Ch. 3: Tcl Commands Listed Alphabetically
add_bp
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_cells_to_pblock
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_condition
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_drc_checks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_files
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_force
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_hw_probe_enum
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_peripheral_interface
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
add_wave
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_wave_divider
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_wave_group
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_wave_marker
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
add_wave_virtual_bus
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_clocks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_cpus
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_dsps
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_fanin
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_fanout
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_ffs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_hsios
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_inputs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_latches
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_outputs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_rams
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
all_registers
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
apply_bd_automation
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
apply_board_connection
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
apply_hw_ila_trigger
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
archive_project
Syntax
Returns
Usage
Categories
Arguments
Examples
See Also
assign_bd_address
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
boot_hw_device
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
calc_config_time
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
check_ip_cache
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
check_syntax
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
check_timing
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
checkpoint_vcd
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
close_bd_design
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
close_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
close_hw
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
close_hw_target
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
close_project
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
close_saif
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
close_sim
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
close_vcd
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
close_wave_config
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
commit_hw_mig
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
commit_hw_sio
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
commit_hw_sysmon
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
commit_hw_vio
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
compile_c
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
compile_simlib
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
config_compile_simlib
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
config_design_analysis
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
config_timing_analysis
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
config_timing_corners
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
config_webtalk
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
connect_bd_intf_net
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
connect_bd_net
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
connect_debug_port
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
connect_hw_server
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
connect_net
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
convert_ips
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
convert_ngc
Syntax
Returns
Usage
Categories
copy_bd_objs
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
copy_ip
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
copy_run
Syntax
Returns
Usage
Categories
create_bd_addr_seg
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_bd_cell
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_bd_design
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_bd_intf_net
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_bd_intf_pin
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_bd_intf_port
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_bd_net
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_bd_pin
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_bd_port
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_cell
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_clock
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_debug_core
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_debug_port
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_drc_check
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_drc_ruledeck
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_drc_violation
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_fileset
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_generated_clock
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_hw_axi_txn
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_hw_bitstream
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_hw_cfgmem
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_hw_device
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_hw_probe
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_hw_sio_link
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_hw_sio_linkgroup
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_hw_sio_scan
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_hw_sio_sweep
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_hw_target
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_interface
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_ip
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_ip_run
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_macro
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_net
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_pblock
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_peripheral
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
create_pin
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_port
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_project
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_property
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_run
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_slack_histogram
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_sysgen
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_wave_config
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
create_xps
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_bd_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_bd_instance
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_board
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
current_board_part
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
current_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_fileset
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_hw_cfgmem
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
current_hw_device
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
current_hw_ila
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
current_hw_ila_data
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
current_hw_server
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
current_hw_target
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
current_instance
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_project
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_run
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_scope
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_sim
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_time
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_vcd
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
current_wave_config
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
decrypt_bitstream
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
delete_bd_objs
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
delete_clock_networks_results
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_debug_core
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_debug_port
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_drc_check
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_drc_ruledeck
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_fileset
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_hw_axi_txn
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
delete_hw_bitstream
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
delete_hw_cfgmem
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
delete_hw_probe
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_hw_target
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_interface
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_ip_run
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_macros
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_pblocks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_power_results
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_rpm
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
delete_runs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_timing_results
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
delete_utilization_results
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
describe
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
detect_hw_sio_links
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
disconnect_bd_intf_net
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
disconnect_bd_net
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
disconnect_debug_port
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
disconnect_hw_server
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
disconnect_net
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
display_hw_ila_data
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
display_hw_sio_scan
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
encrypt
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
endgroup
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
exclude_bd_addr_seg
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
execute_hw_svf
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
export_bd_synth
Syntax
Returns
Usage
Categories
export_ip_user_files
Syntax
Returns
Usage
Categories
export_simulation
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
extract_files
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
filter
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
find_bd_objs
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
find_routing_path
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
find_top
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
flush_vcd
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
generate_mem_files
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
generate_peripheral
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
generate_target
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bd_addr_segs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bd_addr_spaces
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bd_cells
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bd_designs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bd_intf_nets
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bd_intf_pins
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bd_intf_ports
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bd_nets
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bd_pins
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bd_ports
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bel_pins
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_bels
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_bus_nets
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_buses
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_component_interfaces
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_component_modes
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_component_pins
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_components
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_interface_ports
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_ip_preferences
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_jumpers
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_parameters
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_part_interfaces
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_part_pins
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_board_parts
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_boards
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_cells
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_cfgmem_parts
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_clock_regions
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_clocks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_debug_cores
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_debug_ports
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_designs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_drc_checks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_drc_ruledecks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_drc_violations
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_example_designs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_files
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_filesets
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_generated_clocks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_hierarchy_separator
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_highlighted_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_hw_axi_txns
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_axis
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_cfgmems
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_devices
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_ila_datas
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_ilas
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_migs
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_probes
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_servers
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_commons
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_gtgroups
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_gts
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_iberts
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_linkgroups
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_links
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_plls
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_rxs
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_scans
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_sweeps
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sio_txs
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sysmon_reg
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_sysmons
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_targets
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_hw_vios
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_interfaces
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_io_standards
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_iobanks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_ip_upgrade_results
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_ipdefs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_ips
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_lib_cells
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_lib_pins
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_libs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_macros
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_marked_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_msg_config
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_net_delays
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_nets
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_nodes
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_package_pins
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_param
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_parts
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_path_groups
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_pblocks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_pins
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_pips
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_pkgpin_bytegroups
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_pkgpin_nibbles
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_ports
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_projects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_property
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_runs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_scopes
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_selected_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_simulators
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
get_site_pins
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_site_pips
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_sites
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_slrs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_speed_models
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_template_bd_designs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
get_tiles
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_timing_arcs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_timing_paths
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_value
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_wave_configs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
get_wires
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
group_bd_cells
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
group_path
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
help
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
highlight_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
implement_debug_core
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
implement_mig_cores
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
import_files
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
import_ip
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
import_synplify
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
import_xise
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
import_xst
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
include_bd_addr_seg
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
infer_diff_pairs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
instantiate_example_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
instantiate_template_bd_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
iphys_opt_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
launch_chipscope_analyzer
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
launch_impact
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
launch_runs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
launch_sdk
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
launch_simulation
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
limit_vcd
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
link_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
list_features
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
list_hw_samples
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
list_param
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
list_property
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
list_property_value
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
list_targets
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
load_features
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
lock_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
log_saif
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
log_vcd
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
log_wave
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
ltrace
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
make_diff_pair_ports
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
make_wrapper
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
mark_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
move_bd_cells
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
move_files
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
open_bd_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
open_checkpoint
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
open_example_project
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
open_hw
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
open_hw_target
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
open_io_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
open_project
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
open_report
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
open_run
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
open_saif
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
open_vcd
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
open_wave_config
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
open_wave_database
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
opt_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
phys_opt_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
place_cell
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
place_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
place_pblocks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
place_ports
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
power_opt_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
pr_analyzer
Syntax
Returns
Usage
Categories
pr_verify
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
program_hw_cfgmem
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
program_hw_devices
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
ptrace
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
read_bd
Syntax
Returns
Usage
Categories
Arguments
Examples
See Also
read_checkpoint
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
read_csv
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
read_edif
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
read_hw_ila_data
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
read_hw_sio_scan
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
read_hw_sio_sweep
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
read_ip
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
read_iphys_opt_tcl
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
read_mem
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
read_saif
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
read_schematic
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
read_twx
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
read_verilog
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
read_vhdl
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
read_xdc
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
readback_hw_cfgmem
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
readback_hw_device
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
redo
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
refresh_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
refresh_hw_axi
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
refresh_hw_device
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
refresh_hw_mig
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
refresh_hw_server
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
refresh_hw_sio
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
refresh_hw_sysmon
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
refresh_hw_target
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
refresh_hw_vio
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
regenerate_bd_layout
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
register_proc
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
reimport_files
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
relaunch_sim
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
remove_bps
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
remove_cell
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
remove_cells_from_pblock
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
remove_conditions
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
remove_drc_checks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
remove_files
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
remove_forces
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
remove_hw_probe_enum
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
remove_hw_sio_link
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
remove_hw_sio_linkgroup
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
remove_hw_sio_scan
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
remove_hw_sio_sweep
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
remove_net
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
remove_pin
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
remove_port
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
rename_cell
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
rename_net
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
rename_pin
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
rename_port
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
rename_ref
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reorder_files
Syntax
Returns
Usage
Categories
Arguments
Examples
See Also
replace_bd_cell
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
report_bps
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_bus_skew
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_carry_chains
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
report_cdc
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_clock_interaction
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_clock_networks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_clock_utilization
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_clocks
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_compile_order
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_conditions
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_config_timing
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_control_sets
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
report_datasheet
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_debug_core
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_design_analysis
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_disable_timing
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_drc
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_drivers
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_environment
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
report_exceptions
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_high_fanout_nets
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_hw_axi_txn
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
report_hw_mig
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_hw_targets
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_incremental_reuse
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_io
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_ip_status
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_methodology
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_operating_conditions
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_param
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_phys_opt
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_pipeline_analysis
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_power
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_power_opt
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_property
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_pulse_width
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_ram_utilization
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_route_status
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_scopes
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_seu
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_simlib_info
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_ssn
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_switching_activity
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_synchronizer_mtbf
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_timing
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_timing_summary
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_transformed_primitives
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
report_utilization
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
report_values
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_drc
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_drc_check
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_hw_axi
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
reset_hw_ila
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
reset_hw_vio_activity
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
reset_hw_vio_outputs
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
reset_msg_config
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_msg_count
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_operating_conditions
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_param
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_project
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
reset_property
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_run
Syntax
Returns
Usage
Categories
Arguments
Examples
See Also
reset_simulation
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
reset_ssn
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_switching_activity
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_target
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
reset_timing
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
resize_net_bus
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
resize_pblock
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
resize_pin_bus
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
resize_port_bus
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
restart
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
route_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
run
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
run_hw_axi
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
run_hw_ila
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
run_hw_sio_scan
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
run_hw_sio_sweep
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
run_state_hw_jtag
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
runtest_hw_jtag
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
save_bd_design
Syntax
Returns
Usage
Categories
Arguments
Examples
See Also
save_constraints
Syntax
Returns
Usage
Categories
Arguments
Examples
See Also
save_constraints_as
Syntax
Returns
Usage
Categories
Arguments
Examples
See Also
save_project_as
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
save_wave_config
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
scan_dr_hw_jtag
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
scan_ir_hw_jtag
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
select_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
select_wave_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_bus_skew
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_case_analysis
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_clock_groups
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_clock_latency
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_clock_sense
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_clock_uncertainty
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_data_check
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_delay_model
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_disable_timing
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_external_delay
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_false_path
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_hierarchy_separator
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_hw_sysmon_reg
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
set_input_delay
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_input_jitter
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_load
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_logic_dc
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_logic_one
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_logic_unconnected
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_logic_zero
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_max_delay
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_max_time_borrow
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_min_delay
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_msg_config
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_multicycle_path
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_operating_conditions
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_output_delay
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_package_pin_val
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_param
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_part
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
set_power_opt
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_propagated_clock
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_property
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_speed_grade
Syntax
Returns
Usage
Categories
Arguments
Examples
See Also
set_switching_activity
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_system_jitter
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_units
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
set_value
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
setup_ip_static_library
Syntax
Returns
Usage
Categories
show_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
show_schematic
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
split_diff_pair_ports
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
start_gui
Syntax
Returns
Categories
Description
Arguments
Examples
See Also
start_vcd
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
startgroup
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
step
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
stop
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
stop_gui
Syntax
Returns
Categories
Description
Arguments
Examples
See Also
stop_hw_sio_scan
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
stop_hw_sio_sweep
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
stop_vcd
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
swap_locs
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
synth_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
synth_ip
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
tie_unused_pins
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
undo
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
ungroup_bd_cells
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
unhighlight_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
unmark_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
unplace_cell
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
unregister_proc
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
unselect_objects
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
update_clock_routing
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
update_compile_order
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
update_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
update_files
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
update_ip_catalog
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
update_macro
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
update_module_reference
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
update_timing
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
upgrade_bd_cells
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
upgrade_ip
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
upload_hw_ila_data
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
validate_bd_design
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
validate_dsa
Syntax
Returns
Usage
Categories
validate_ip
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
verify_hw_devices
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
version
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
wait_on_hw_ila
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
wait_on_hw_sio_scan
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
wait_on_hw_sio_sweep
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
wait_on_run
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_bd_layout
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_bd_tcl
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
write_bitstream
Syntax
Returns
Usage
Categories
Arguments
Examples
See Also
write_bmm
Syntax
Returns
Usage
Categories
Description
Arguments
Example
write_bsdl
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
write_cfgmem
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
write_checkpoint
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_csv
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_debug_probes
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_edif
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_hw_ila_data
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
write_hw_sio_scan
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
write_hw_sio_sweep
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
write_hw_svf
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_hwdef
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_ibis
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
write_inferred_xdc
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_iphys_opt_tcl
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_mem_info
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
write_peripheral
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
write_project_tcl
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_schematic
Syntax
Returns
Usage
Categories
Description
Arguments
Example
See Also
write_sdf
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_sysdef
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_verilog
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_vhdl
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
write_xdc
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
xsim
Syntax
Returns
Usage
Categories
Description
Arguments
Examples
See Also
Appx. A: Additional Resources
Xilinx Resources
Solution Centers
Training Resources
References
Tcl Developer Xchange
About SDC
Please Read: Important Legal Notices
Vivado Design Suite Tcl Command Reference Guide UG835 (v2016.2) June 8, 2016
Revision History Commands Deprecated as of 2016.1 • • • get_gtbanks: Use ’get_iobanks -filter {BANK_TYPE == BT_MGT}’ instead. open_netlist_design: Use ’link_design’ or ’open_run’ instead. read_vcd: Commands Added in 2016.1 check_syntax, copy_run, create_hw_device, create_hw_probe, create_hw_target, decrypt_bitstream, delete_hw_probe, delete_hw_target, execute_hw_svf, list_hw_samples, report_bus_skew, report_hw_targets, report_methodology, report_phys_opt, set_bus_skew, update_clock_routing, update_module_reference, validate_dsa, write_hw_svf Commands Modified in 2016.1 config_webtalk 06/08/2016: Released with Vivado Design Suite 2016.2 without changes from the previous version. Vivado Design Suite Tcl Guide UG835 (v2016.1) April 6, 2016 UG835 (v2016.2) June 8, 2016 www.xilinx.com 2 Send Feedback
Chapter 1 Introduction Overview of Tcl Capabilities in Vivado The Tool Command Language (Tcl) is the scripting language integrated in the Vivado™ tool environment. Tcl is a standard language in the semiconductor industry for application programming interfaces, and is used by Synopsys® Design Constraints (SDC). SDC is the mechanism for communicating timing constraints for FPGA synthesis tools from Synopsys Synplify as well as other vendors, and is a timing constraint industry standard; consequently, the Tcl infrastructure is a “Best Practice” for scripting language. Tcl lets you perform interactive queries to design tools in addition to executing automated scripts. Tcl offers the ability to “ask” questions interactively of design databases, particularly around tool and design settings and state. Examples are: querying specific timing analysis reporting commands live, applying incremental constraints, and performing queries immediately after to verify expected behavior without re-running any tool steps. The following sections describe some of the basic capabilities of Tcl with Vivado. NOTE: This manual is not a comprehensive reference for the Tcl language. It is a reference to the specific capabilities of the Vivado Design Suite Tcl shell, and provides reference to additional Tcl programming resources. Launching the Vivado Design Suite You can launch the Vivado Design Suite and run the tools using different methods depending on your preference. For example, you can choose a Tcl script-based compilation style method in which you manage sources and the design process yourself, also known as Non-Project Mode. Alternatively, you can use a project-based method to automatically manage your design process and design data using projects and project states, also known as Project Mode. Either of these methods can be run using a Tcl scripted batch mode or run interactively in the Vivado IDE. For more information on the different design flow modes, see the Vivado Design Suite User Guide: Design Flows Overview (UG892). Tcl Shell Mode If you prefer to work directly with Tcl commands, you can interact with your design using Tcl commands with one of the following methods: • • • • Enter individual Tcl commands in the Vivado Design Suite Tcl shell outside of the Vivado IDE. Enter individual Tcl commands in the Tcl Console at the bottom of the Vivado IDE. Run Tcl scripts from the Vivado Design Suite Tcl shell. Run Tcl scripts from the Vivado IDE. Vivado Design Suite Tcl Guide UG835 (v2016.1) April 6, 2016 UG835 (v2016.2) June 8, 2016 www.xilinx.com 3 Send Feedback
Chapter 1: Introduction Use the following command to invoke the Vivado Design Suite Tcl shell either at the Linux command prompt or within a Windows Command Prompt window: vivado -mode tcl TIP: On Windows, you can also select Start > All Programs > Xilinx Design Tools > Vivado yyyy.x > Vivado yyyy.x Tcl Shell, where “yyyy.x” is the installed version of Vivado. For more information about using Tcl and Tcl scripting, see the Vivado Design Suite User Guide: Using the Tcl Scripting Capabilities (UG894). For a step-by-step tutorial that shows how to use Tcl in the Vivado tool, see the Vivado Design Suite Tutorial: Design Flows Overview (UG888). Tcl Batch Mode You can use the Vivado tools in batch mode by supplying a Tcl script when invoking the tool. Use the following command either at the Linux command prompt or within a Windows Command Prompt window: vivado -mode batch -source The Vivado Design Suite Tcl shell will open, run the specified Tcl script, and exit when the script completes. In batch mode, you can queue up a series of Tcl scripts to process a number of designs overnight through synthesis, simulation, and implementation, and review the results on the following morning. Vivado IDE Mode If you prefer to work in a GUI, you can launch the Vivado IDE from Windows or Linux. For more information on the Vivado IDE, see the Vivado Design Suite User Guide: Using the Vivado IDE (UG893). Launch the Vivado IDE from your working directory. By default the Vivado journal and log files, and any generated report files, are written to the directory from which the Vivado tool is launched. This makes it easier to locate the project file, log files, and journal files, which are written to the launch directory. In the Windows OS, select Start > All Programs > Xilinx Design Tools > Vivado 2014.x > Vivado 2014.x. TIP: You can also double-click the Vivado IDE shortcut icon on your Windows desktop. In the Linux OS, enter the following command at the command prompt: vivado -or- vivado -mode gui If you need help, with the Vivado tool command line executable, type: vivado -help If you are running the Vivado tool from the Vivado Design Suite Tcl shell, you can open the Vivado IDE directly from the Tcl shell by using the start_gui command. From the Vivado IDE, you can close the Vivado IDE and return to a Vivado Tcl shell by using the stop_gui command. Vivado Design Suite Tcl Guide UG835 (v2016.1) April 6, 2016 UG835 (v2016.2) June 8, 2016 www.xilinx.com 4 Send Feedback
Chapter 1: Introduction Tcl Journal Files When you invoke the Vivado tool, it writes the vivado.log file to record the various commands and operations performed during the design session. The Vivado tool also writes a file called vivado.jou which is a journal of just the Tcl commands run during the session. The journal file can be used as a source to create new Tcl scripts. NOTE: Backup versions of the journal file, named vivado_.backup.jou, are written to save the details of prior runs whenever the Vivado tool is launched. The is a unique identifier that allow the tool to create and store multiple backup versions of the log and journal files. Tcl Help The Tcl help command provides information related to the supported Tcl commands. • help – Returns a list of Tcl command categories. help Command categories are groups of commands performing a specific function, like File I/O for instance. help -category category – Returns a list of commands found in the specified category. help -category object This example returns the list of Tcl commands for handling objects. help pattern – Returns a list of commands that match the specified search pattern. This form can be used to quickly locate a specific command from a group of commands. help get_* This example returns the list of Tcl commands beginning with get_. help command – Provides detailed information related to the specified command. help get_cells This example returns specific information of the get_cells command. help -args command – Provides an abbreviated help text for the specified command, including the command syntax and a brief description of each argument. help -args get_cells help -syntax command – Reports the command syntax for the specified command. help -syntax get_cells • • • • • Scripting in Tcl Vivado Design Suite Tcl Guide UG835 (v2016.1) April 6, 2016 UG835 (v2016.2) June 8, 2016 www.xilinx.com 5 Send Feedback
Tcl Initialization Scripts Chapter 1: Introduction TIP: The following describes where you can place init.tcl scripts if you would like to customize Vivado on startup. No init.tcl scripts are provided in the Vivado release by default. When you start the Vivado tool, it looks for a Tcl initialization script in two different locations: 1. 2. In the software installation: installdir/Vivado/version/scripts/init.tcl In the local user directory: • • For Windows 7: %APPDATA%/Xilinx/Vivado/init.tcl For Linux: $HOME/.Xilinx/Vivado/init.tcl Where: installdir is the installation directory where the Vivado Design Suite is installed. If init.tcl exists, in one or both of those locations, the Vivado tool sources this file; first from the installation directory and second from your home directory. • The init.tcl file in the installation directory allows a company or design group to support a common initialization script for all users. Anyone starting the Vivado tool from that installation location sources the enterprise init.tcl script. The init.tcl file in the home directory allows each user to specify additional commands, or to override commands from the software installation to meet their specific design requirements. • No init.tcl file is provided with the Vivado Design Suite installation. You must create the init.tcl file and place it in either the installation directory, or your home directory, as discussd to meet your specific needs. • The init.tcl file is a standard Tcl command file that can contain any valid Tcl command supported by the Vivado tool. You can also source another Tcl script file from within init.tcl by adding the following statement: source path_to_file/file_name.tcl NOTE: You can also specify the -init option when launching the Vivado Design Suite from the command line. Type vivado -help for more information. Sourcing a Tcl Script A Tcl script can be sourced from either one of the command-line options or from the GUI. Within the Vivado Integrated Design Environment (IDE) you can source a Tcl script from Tools > Run Tcl Script. You can source a Tcl script from a Tcl command-line option: source file_name When you invoke a Tcl script from the Vivado IDE, a progress bar is displayed and all operations in the IDE are blocked until the scripts completes. There is no way to interrupt script execution during run time; consequently, standard OS methods of killing a process must be used to force interruption of the tool. If the process is killed, you lose any work done since your last save. Typing help source in the Tcl console will provide additional information regarding the source command. Vivado Design Suite Tcl Guide UG835 (v2016.1) April 6, 2016 UG835 (v2016.2) June 8, 2016 www.xilinx.com 6 Send Feedback
Chapter 1: Introduction Using Tcl.pre and Tcl.post Hook Scripts Tcl Hook scripts allow you to run custom Tcl scripts prior to (tcl.pre) and after (tcl.post) synthesis and implementation design runs, or any of the implementation steps. Whenever you launch a run, the Vivado tool uses a predefined Tcl script which executes a design flow based on the selected strategy. Tcl Hook scripts let you customize the standard flow, with pre-processors or post-processors, such as for generating custom reports. The Tcl Hook script must be a standard Tcl script. Every step in the design flow has a pre- and post-hook capability. Common examples are: • • • Over-constraining timing constraints for portions of the flow. • Multiple iterations of stages (e.g. multiple calls to phys_opt_design). • Modifications to netlist, constraint, or device programming. Custom reports: timing, power, utilization, or any user-defined tcl report. Temporary parameters for workarounds. IMPORTANT: Relative paths within the tcl.pre and tcl.post scripts are relative to the appropriate run directory of the project they are applied to: //. You can use the DIRECTORY property of the current project or current run to define the relative paths in your Tcl hook scripts: get_property DIRECTORY [current_project] get_property DIRECTORY [current_run] For more information on defining Tcl Hook scripts, refer to the Vivado Design Suite User Guide: Using Tcl Scripting (UG894). General Tcl Syntax Guidelines Tcl uses the Linux file separator (/) convention regardless of which Operating System you are running. The following subsections describe the general syntax guidelines for using Tcl in the Vivado Design Suite. Using Tcl Eval When executing Tcl commands, you can use variable substitution to replace some of the command line arguments accepted or required by the Tcl command. However, you must use the Tcl eval command to evaluate the command line with the Tcl variable as part of the command. For instance, the help command can take the -category argument, with one of a number of command categories as options: help -category ipflow You can define a variable to hold the command category: set cat "ipflow" Vivado Design Suite Tcl Guide UG835 (v2016.1) April 6, 2016 UG835 (v2016.2) June 8, 2016 www.xilinx.com 7 Send Feedback
Chapter 1: Introduction set is the Tcl keyword that defines the variable. cat is the name of the variable being defined. "ipflow" is the value assigned to the variable. Where: • • • You can then evaluate the variable in the context of the Tcl command: eval help -category $cat or, set cat "category ipflow" eval help $cat You can also use braces {} in place of quotation marks “” to achieve the same result: set runblocksOptDesignOpts { -sweep -retarget -propconst -remap } eval opt_design $runblocksOptDesignOpts Typing help eval in the Tcl console will provide additional information regarding the eval command. Using Special Characters Some commands take arguments that contain characters that have special meaning to Tcl. Those arguments must be surrounded with curly braces {} to avoid unintended processing by Tcl. The most common cases are as follows. Bus Indexes - Because square brackets [] have special meaning to Tcl, an indexed (bit- or part-selected) bus using the square bracket notation must be surrounded with curly braces. For example, when adding index 4 of a bus to the Vivado Common Waveform Viewer window using the square bracket notation, you must write the command as: add_wave {bus[4]} Parentheses can also be used for indexing a bus, and because parentheses have no special meaning to Tcl, the command can be written without curly braces. For example: add_wave bus(4) Verilog Escaped Identifiers - Verilog identifiers containing characters or keywords that are reserved by Verilog need to be “escaped” both in the Verilog source code and on the simulator command line by prefixing the identifier with a backslash "\" and appending a space. Additionally, on the Tcl command line the escaped identifier must be surrounded with curly braces. NOTE: identifier with curly braces does not work, because Tcl interprets curly braces as reserved characters even nested within curly braces. Instead, you must use the technique described below, in VHDL Extended Identifiers. For example, to add a wire named "my wire" to the Vivado Common Waveform Viewer window, you must write the command as: add_wave {\my wire } NOTE: Be sure to append a space after the final character, and before the closing brace. Verilog allows any identifier to be escaped. However, on the Tcl command line do not escape identifiers that are not required to be escaped. For example, to add a wire named "w" to the Vivado Common Waveform Viewer window, the Vivado simulator would not accept: add_wave {\w } If an identifier already includes a curly brace, then the technique of surrounding the Vivado Design Suite Tcl Guide UG835 (v2016.1) April 6, 2016 UG835 (v2016.2) June 8, 2016 www.xilinx.com 8 Send Feedback
分享到:
收藏