add_clock_drivers
SYNTAX
ARGUMENTS
DESCRIPTION
CONFIGURATION STRUCTURE
LIMITATIONS
EXAMPLES
SEE ALSO
add_distributed_hosts
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
add_drc_error_detail
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_end_cap
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_open_drc_error_detail
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_pg_pin_to_db
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_pg_pin_to_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_port_state
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_power_state
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_pst_state
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_row
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_tap_cell_array
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_to_collection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
add_to_rp_group
SYNTAX for Leaf Cells
SYNTAX for Hierarchical Groups
SYNTAX for Keepouts
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
adjust_fp_floorplan
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
adjust_fp_io_placement
SYNTAX
ARGUMENTS
DESCRIPTION
adjust_premesh_connection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
alias
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
align_fp_pins
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
align_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_active_scenarios
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_ao_cellsfp
SYNTAX
DESCRIPTION
SEE ALSO
all_bounds_of_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_cells_in_bound
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_clocks
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_connected
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_connectivity_fanin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_connectivity_fanout
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_critical_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_critical_pins
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_designs
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
all_dont_touch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_drc_violated_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_fanin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_fanout
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_fixed_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_high_fanout
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_ideal_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_inputs
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_isolation_cells
SYNTAX
DESCRIPTION
SEE ALSO
all_level_shifters
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
all_macro_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_mtcmos_cellsfp
SYNTAX
ARGUMENTS
DESCRIPTION
all_objects_in_bounding_box
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_outputs
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_physical_only_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_physical_only_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_physical_only_ports
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_registers
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_rp_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_rp_hierarchicals
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_rp_inclusions
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_rp_instantiations
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_rp_references
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_scenarios
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_size_only_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_spare_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_threestate
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
all_tieoff_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
allocate_fp_budgets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
analyze_displacement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
analyze_fp_rail
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
analyze_fp_routing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
analyze_rail
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
analyze_subcircuit
SYNTAX
ARGUMENTS
DESCRIPTION
FILES
LIMITATIONS
EXAMPLES
SEE ALSO
append_to_collection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
apropos
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
archive_design
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
assign_flip_chip_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
associate_mv_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
balance_inter_clock_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
break
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
calculate_caa_based_yield2db
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
calculate_hier_antenna_property
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
cd
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
change_fp_soft_macro_to_black_box
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
change_link
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
change_macro_view
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
change_names
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
change_selection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
change_tie_connection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
change_working_design
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
change_working_design_stack
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
characterize
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_clock_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_database
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_design
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_error
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_fp_budget_result
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_fp_pin_alignment
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_fp_pin_assignment
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_fp_rail
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_fp_timing_environment
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_ilm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_isolation_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_legality
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_level_shifters
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_library
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_license
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_mpc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_mv_design
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_noise
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_physical_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_physical_design
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_rail
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_route
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_routeability
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_rp_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_scan_chain
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_scenarios
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_signoff_correlation
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
check_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
check_tlu_plus_files
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
clock_opt
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
clock_opt_feasibility
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
close_distributed_route
SYNTAX
DESCRIPTION
SEE ALSO
close_mw_cel
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
close_mw_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
Collections_and_Querying
DESCRIPTION
Homogeneous and Heterogeneous Collections
Lifetime of a Collection
Iteration
Manipulating Collections
Filtering
Sorting Collections
Implicit Query of Collections
Controlling Deletion Effort
SEE ALSO
commit_fp_group_block_ring
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
commit_fp_plan_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
commit_fp_rail
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
commit_skew_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
compare_collections
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
compare_delay_calculation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
compare_interface_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
compare_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
compare_rc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
compile_clock_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
compile_fp_clock_plan
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
compile_premesh_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
compute_polygons
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
connect_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
connect_pin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
connect_power_domain
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
connect_power_net_info
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
connect_power_switch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
connect_spare_diode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
connect_supply_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
connect_tie_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
continue
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
convert_from_polygon
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
convert_mw_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
convert_to_polygon
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
convert_wire_ends
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
convert_wire_to_pin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
copy_collection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
copy_floorplan
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
copy_mim
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
copy_mw_cel
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
copy_mw_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
copy_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
count_drc_violations
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
cputime
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_auto_shield
SYNTAX
ARGUMENTS
DESCRIPTION
PREREQUISITES
EXAMPLES
SEE ALSO
create_base_array
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_boundary
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_bounds
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_buffer_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_clock
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_clock_mesh
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_command_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_connview
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_custom_wire
SYNTAX
ARGUMENTS
DESCRIPTION
NOTES
EXAMPLES
SEE ALSO
create_differential_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_drc_error
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_drc_error_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_edit_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_fp_block_shielding
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_fp_blockages_for_child_hardmacro
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
create_fp_group_block_ring
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_fp_pins
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_fp_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_fp_plan_group_padding
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_fp_virtual_pad
SYNTAX
ARGUMENTS
DESCRIPTION
create_generated_clock
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_ilm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_ilm_models
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_lib_track
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_macro_fram
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_mw_cel
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_mw_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_net_shape
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_on_demand_netlist
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_open_drc_error
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_open_locator_drc_error
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_operating_conditions
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_pad_rings
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_partition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_pg_network
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_physical_bus
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_physical_buses_from_patterns
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_pin_guide
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_placement_blockage
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_plan_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_port
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_power_domain
SYNTAX for UPF Mode
SYNTAX for Non-UPF Mode
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_power_net_info
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_power_straps
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_power_switch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_power_switch_array
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_power_switch_ring
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_preroute_vias
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_pst
SYNTAX
ARGUMENT
DESCRIPTION
EXAMPLES
SEE ALSO
create_qor_snapshot
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_qtm_clock
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_qtm_constraint_arc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_qtm_delay_arc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_qtm_drive_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_qtm_generated_clock
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_qtm_insertion_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_qtm_load_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_qtm_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_qtm_path_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_qtm_port
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_rail_setup
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
create_rectangular_rings
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_rectilinear_rings
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_route_guide
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_routing_blockage
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_rp_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_scenario
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_short_drc_error
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_site_row
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_spacing_drc_error
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_stack_via_on_pad_pin
SYNTAX
DATA TYPES
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_supply_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_supply_port
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_supply_set
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_terminal
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_text
SYNTAX
ARGUMENTS
RETURNS
DESCRIPTION
EXAMPLES
SEE ALSO
create_track
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_user_shape
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_via
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_voltage_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
create_zrt_shield
SYNTAX
ARGUMENTS
DESCRIPTION
PREREQUISITES
LIMITATIONS
EXAMPLES
SEE ALSO
current_design
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
current_design_name
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
current_instance
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
current_mw_cel
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
current_mw_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
current_scenario
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
cut_objects
SYNTAX
ARGUMENTS
RETURNS
DESCRIPTION
EXAMPLES
SEE ALSO
cut_row
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
date
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
define_antenna_accumulation_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_antenna_layer_ratio_scale
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_antenna_layer_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_antenna_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_bus
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_io_antenna_area
SYNTAX
DATA TYPES
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_io_diode_protection
SYNTAX
Data Types
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_io_gate_size
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_name_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_proc_attributes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_routing_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_scaling_lib_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_user_attribute
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_via
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
define_zrt_redundant_vias
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
delete_operating_conditions
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
derive_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
derive_mpc_macro_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
derive_mpc_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
derive_mpc_port_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
derive_pg_connection
SYNTAX for Manual Mode
SYNTAX for Automatic Mode
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
detect_flcc_hotspot
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
detect_lcc_hotspot
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
disconnect_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
disconnect_power_net_info
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
display_flip_chip_route_flylines
SYNTAX
DATA TYPES
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
distance
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
distribute_objects
SYNTAX
ARGUMENTS
DESCRIPTION
NOTES
EXAMPLES
SEE ALSO
drive_of
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
echo
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
eco_netlist
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
end_fp_trace_mode
SYNTAX
DESCRIPTION
EXAMPLE
SEE ALSO
error_info
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
estimate_fp_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
estimate_fp_black_boxes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
estimate_rc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
exit
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
expand_flip_chip_cell_locations
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
expand_objects
SYNTAX
ARGUMENTS
DESCRIPTION
NOTES
EXAMPLES
SEE ALSO
explore_power_switch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
extract_blockage_pin_via
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
extract_flcc_hotspot
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
extract_fp_rail_to_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
extract_fp_relative_location
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
extract_hier_antenna_property
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
extract_rc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
extract_rp_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
extract_zrt_hier_antenna_property
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
filter_collection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
fix_flcc_hotspot
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
fix_isolated_via
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
APPENDIX
SEE ALSO
fix_lcc_hotspot
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
fix_signal_em
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
flatten_clock_gating
SYNTAX
ARGUMENTS
DESCRIPTION
LIMITATIONS
EXAMPLES
SEE ALSO
flatten_fp_black_boxes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
flatten_fp_hierarchy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
flip_mim
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
flip_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
focal_opt
SYNTAX
ARGUMENTS
DESCRIPTION
FILE FORMAT
EXAMPLES
SEE ALSO
foreach
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
foreach_in_collection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
generate_qtm_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_adjusted_endpoints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_alternative_lib_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_always_on_logic
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_attribute
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_bounds
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_buffers
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_cell_sites
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_clocks
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_command_option_values
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_core_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_coupling_capacitors
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_cts_scenario
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_design_lib_path
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_die_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_dominant_scenarios
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_dont_touch_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_drc_errors
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_edit_groups
SYNTAX
ARGUMENTS
RETURNS
DESCRIPTION
EXAMPLES
SEE ALSO
get_error_view_property
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
get_flat_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_flat_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_flat_pins
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_floorplan_data
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_fp_trace_mode
SYNTAX
EXAMPLE
SEE ALSO
get_fp_wirelength
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_generated_clocks
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_ilm_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_ilms
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_layer_attribute
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_layers
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_lib_attribute
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_lib_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_lib_pins
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_libs
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_license
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_location
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_magnet_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_message_info
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_mw_cels
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_net_shapes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_new_bounds
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_object_fixed_edit
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_object_name
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_object_snap_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_path_groups
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
get_physical_buses
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_physical_lib_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_physical_lib_pins
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_physical_libs
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_pin_guides
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_pin_shapes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_pins
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_placement_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_placement_blockages
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_plan_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_polygon_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_ports
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_power_domains
SYNTAX for UPF Mode
SYNTAX for Non-UPF Mode
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_power_switches
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_related_supply_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_route_guides
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_route_mode_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_route_opt_zrt_crosstalk_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_route_zrt_common_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_route_zrt_detail_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_route_zrt_global_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_route_zrt_track_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_routing_blockages
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_rp_group_keepouts
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_rp_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_scan_cells_of_chain
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_scan_chains
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_scenarios
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_selection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_si_xtalk_bumps
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_site_rows
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_supply_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_supply_ports
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_terminals
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_text
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_timing_paths
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_tracks
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_unix_variable
SEE ALSO
get_user_grid
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_user_shapes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_via_masters
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_via_regions
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_vias
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_voltage_areas
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
get_working_design_stack
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
get_zero_interconnect_delay_mode
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
get_zrt_net_properties
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
getenv
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
group_path
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_check_drc_errors
SYNTAX
ARGUMENTS
RETURNS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_clear_filter_errors
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
gui_clear_selected_errors
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_create_tk_palette_type
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
gui_error_browser
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_filter_errors
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_get_bucket_option
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_get_bucket_option_list
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_get_error_browser_option
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_get_errors
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_get_map_list
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_get_map_option
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_get_map_option_list
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_get_routes_between_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_inspect_violations
SYNTAX for XG Mode
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_area_net_connection_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_cell_density_mm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_cell_slack_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_clock_delay_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_clock_tree_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_delta_delay_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_hierarchy_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_illegal_cell_placement_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_imported_path_pins_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_net_capacitance_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_path_slack_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_pin_density_mm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_power_density_mm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_relative_placement_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_scan_chain_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_static_noise_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_load_voltage_area_vm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_page_errors
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_report_errors
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_set_bucket_option
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_set_current_errors
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_set_error_browser_option
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_set_error_fixed
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_set_map_option
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_set_selected_errors
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_show_form
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_show_map
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_toggle_fixed_selected_errors
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
gui_violation_schematic_add_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_wave_add_signal
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
gui_write_layout_image
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
help
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
history
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
ADVANCED TCL HISTORY
HISTORY REVISION
SEE ALSO
hookup_power_gating_ports
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
hookup_retention_register
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
identify_clock_gating
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
if
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
ignore_site_row
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
import_designs
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
import_fp_black_boxes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
index_collection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
infer_power_domains
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
initialize_floorplan
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
initialize_rectilinear_block
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_buffer
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_diode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_isolation_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_level_shifters
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_metal_filler
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_mv_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_ng_filler
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_pad_filler
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_port_protection_diodes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_redundant_vias
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_spare_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_stdcell_filler
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_tap_cells_by_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_well_filler
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_zrt_diodes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
insert_zrt_redundant_vias
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
is_false
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
is_true
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
is_zrt_routed_design
SYNTAX
DESCRIPTION
EXAMPLES
legalize_fp_placement
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
legalize_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
lib2saif
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
license_users
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
link
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
link_physical_library
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
list
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
list_attributes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
list_drc_error_types
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
list_files
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
list_floorplan_data
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
list_instances
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
list_libs
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
list_licenses
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
list_mw_cels
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
list_partition_data
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
lminus
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
load_fp_rail_map
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
load_of
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
load_upf
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
ls
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
magnet_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
man
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
map_freeze_silicon
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
map_isolation_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
map_level_shifter_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
map_power_switch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
map_retention_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
map_unit_tiles
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
mark_clock_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
mem
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
merge_clock_gates
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
merge_flip_chip_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
merge_fp_hierarchy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
merge_net_shapes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
merge_saif
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
move_mw_cel_origin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
move_objects
SYNTAX
ARGUMENTS
DESCRIPTION
NOTES
EXAMPLES
SEE ALSO
move_pins_on_edge
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
mw_cel_collection
DESCRIPTION
SEE ALSO
name_format
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
open_mw_cel
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
open_mw_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
optimize_clock_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
optimize_dft
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
optimize_flip_chip_route
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
optimize_fp_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
optimize_power_switch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
optimize_pre_cts_power
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
optimize_wire_via
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
order_rp_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
pack_fp_macro_in_area
SYNTAX
ARGUMENTS
EXAMPLES
DESCRIPTION
parse_proc_arguments
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
place_flip_chip_array
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
place_flip_chip_ring
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
place_fp_pins
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
place_freeze_silicon
SYNTAX
DESCRIPTION
PREREQUISTES
SEE ALSO
place_io_pads
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
place_opt
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
place_opt_feasibility
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
preroute_instances
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
preroute_standard_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
print_message_info
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
print_proc_new_vars
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
print_suppressed_messages
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
printenv
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
printvar
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
proc_args
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
proc_body
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
process_particle_probability_file
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
propagate_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
propagate_ilm
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
propagate_switching_activity
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
psynopt
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
push_down_fp_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
push_flip_chip_route
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
push_up_fp_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
pwd
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
query_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
query_on_demand_netlist
SYNTAX
DESCRIPTION
EXAMPLE
SEE ALSO
query_qor_snapshot
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
quit
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read
SYNTAX
ARGUMENTS
DESCRIPTION for dc_shell and lc_shell
DESCRIPTION for dc_shell-t
KEYWORDS for dc_shell-t
EXAMPLES FOR DC_SHELL
EXAMPLES FOR LC_SHELL
SEE ALSO
read_antenna_violation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_aocvm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_ddc
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
read_def
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_drc_error_file
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_file
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_flip_chip_bumps
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_floorplan
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_parasitics
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_partition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_pin_pad_physical_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_rail_maps
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_saif
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_sdc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_sdf
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_tdf_ports
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
read_verilog
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
read_zrt_route_guidance
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
rebuild_mw_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
recover_tie_connection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
redirect
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
redo
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reduce_fp_rail_stacked_via
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
refine_fp_macro_channels
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
refine_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_all_spacing_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_annotated_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_annotated_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_annotated_transition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_annotations
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_antenna_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_aocvm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_attachment_file
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_attribute
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_base_arrays
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_bounds
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_buffer
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_buffer_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_bus
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_case_analysis
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_cell_degradation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_cell_sites
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_cell_vt_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_checkpoint_designs
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_cell_spacing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_gates
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_gating_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_latency
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_mesh
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
remove_clock_sense
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_transition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_tree_exceptions
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_tree_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_clock_uncertainty
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_congestion_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_cts_scenario
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_dangling_wires
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_data_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_design
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_diode
SYNTAX
ARGUMENTS
DESCRIPTION
NOTES
EXAMPLES
SEE ALSO
remove_disable_clock_gating_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_disable_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_distributed_hosts
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_distributed_route
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_dont_touch_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_dp_int_round
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_drc_error
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_driving_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_edit_groups
SYNTAX
ARGUMENTS
RETURNS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_filler_with_violation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_flip_chip_route
SYNTAX
DATA TYPES
ARGUMENTS:
DESCRIPTION
EXAMPLES
SEE ALSO
remove_fp_block_shielding
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_fp_feedthroughs
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_fp_pin_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_fp_pin_overlaps
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_fp_plan_group_padding
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_fp_rail_stacked_via
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_fp_rail_voltage_area_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_fp_relative_location
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_fp_virtual_pad
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_fp_voltage_area_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_from_collection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_from_rp_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_generated_clock
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_host_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_ideal_latency
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_ideal_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_ideal_network
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_ideal_transition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_ignore_cell_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE AL SO
remove_ignored_layers
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_input_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_io_antenna_properties
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
remove_isolate_ports
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_isolation_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_keepout_margin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_left_right_filler_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_level_shifters
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_license
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_map_power_switch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_mim_property
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_mw_cel
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_net_routing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_net_routing_layer_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_net_shape
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_net_timing_spacing
SYNTAX
DESCRIPTION
EXAMPLES
remove_noise_immunity_curve
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_noise_lib_pin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_noise_margin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_on_demand_netlist_data
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
remove_output_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_partition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_pg_network
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_physical_bus
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_pin_guides
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_pin_name_synonym
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_pin_pad_physical_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_placement_blockage
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_plan_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_pnet_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_port
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_power_domain
SYNTAX for UPF mode
SYNTAX for Non-UPF Mode
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_power_net_info
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_power_switch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_preferred_routing_direction
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_propagated_clock
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_qor_snapshot
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_rail_maps
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_route_by_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
remove_route_guide
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_routing_blockage
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_routing_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_row_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_rp_group_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_rp_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_scaling_lib_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_scan_def
SYNTAX
DESCRIPTION
SEE ALSO
remove_scan_pin_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_scenario
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_sdc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_site_row
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_skew_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_stdcell_filler
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_steady_state_resistance
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_supply_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_supply_port
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_target_library_subset
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_terminal
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_text
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_tie_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_track
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_unconnected_ports
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_user_shape
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_via
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_voltage_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_vt_filler_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_well_filler
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_xtalk_prop
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
remove_zrt_filler_with_violation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
remove_zrt_redundant_shapes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
rename
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
rename_mw_cel
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
rename_mw_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
replace_cell_reference
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
replace_power_switch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_adjusted_endpoints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_ahfs_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_annotated_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_annotated_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_annotated_transition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_antenna_ratio
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
report_antenna_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_aocvm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_attribute
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_bounds
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_buffer_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_buffer_tree_qor
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_bus
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_case_analysis
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_cbt_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_cell_physical
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_cell_vt_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_change_list
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_check_library_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_clock
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_clock_cell_spacing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_clock_gating
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_clock_gating_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_clock_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_clock_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_clock_tree_optimization_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_clock_tree_power
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_congestion
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_congestion_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_constraint
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_critical_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_crpr
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_cts_batch_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_delay_calculation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_delay_estimation_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_design
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_design_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_design_physical
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_direct_power_rail_tie
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_disable_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_distributed_hosts
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
report_distributed_route
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_dont_touch_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_drc_error_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_droute_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_eco_history
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_edit_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_em_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_error_coordinates
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_extraction_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_fast_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_feasibility_options
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
report_filler_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_flip_chip_bump_attributes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_flip_chip_driver_bump
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_flip_chip_flyline_cross
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_flip_chip_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_floorplan_data
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_fp_clock_plan_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_fp_macro_array
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_fp_macro_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_fp_pin_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
report_fp_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
report_fp_placement_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
report_fp_rail_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_fp_rail_extraction_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_fp_rail_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_fp_rail_voltage_area_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_fp_relative_location
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_fp_shaping_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
report_fp_trace_mode_options
SYNTAX
DESCRIPTION
EXAMPLE
SEE ALSO
report_fp_voltage_area_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
report_groute_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_hierarchy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_host_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_ideal_network
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_ignored_layers
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_ilm
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_inter_clock_delay_options
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
report_interclock_relation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_internal_loads
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_io_antenna_properties
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_isolate_ports
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_isolated_via
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
APPENDIX
SEE ALSO
report_isolation_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_keepout_margin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_latency_adjustment_options
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
report_lcc_hotspot
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_left_right_filler_rule
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
report_level_shifter
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_milkyway_version
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_mim
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
report_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_mpc_macro_array
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_mpc_macro_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_mpc_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_mpc_pnet_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_mpc_port_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_mpc_rectilinear_outline
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_mpc_ring_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_mtcmos_pna_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
report_mw_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_name_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_names
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_net_changes
SYNTAX
DESCRIPTION
LIMITATIONS
EXAMPLES
SEE ALSO
report_net_fanout
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_net_routing_layer_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_net_routing_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_noise
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_noise_calculation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_on_demand_netlist
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_operating_conditions
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_optimize_dft_options
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
report_optimize_pre_cts_power_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_parameter
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_path_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_pg_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
report_physical_bus
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_physical_signoff_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_pin_guides
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_pin_name_synonym
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
report_pin_pad_physical_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_pin_shape
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_placement_utilization
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_pnet_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_port
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_port_protection_diodes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_power
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_power_calculation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_power_domain
SYNTAX for UPF Mode
SYNTAX for Non-UPF Mode
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_power_gating
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_power_guidefp
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_power_net_info
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
report_power_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_power_pin_info
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_power_switch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_preferred_routing_direction
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_preroute_drc_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_primetime_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_pst
SYNTAX
ARGUMENT
DESCRIPTION
SEE ALSO
report_qor
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_qor_snapshot
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_qtm_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_rail_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
report_reference
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_reference_cell_routing_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_retention_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_route_opt_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_route_opt_zrt_crosstalk_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_route_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_route_zrt_common_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_route_zrt_detail_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_route_zrt_global_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_route_zrt_track_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_routing_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_rp_group_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_saif
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_scan_chain
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_scenario_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_scenarios
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_si_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_signal_em
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_signal_em_calculation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_skew_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_spacing_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_split_clock_gates_options
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
report_starrcxt_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_supply_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_supply_port
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_supply_set
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_target_library_subset
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_threshold_voltage_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_tie_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
report_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_timing_derate
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_timing_histogram
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_timing_requirements
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_tlu_plus_files
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_track
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_transitive_fanin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_transitive_fanout
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_unit_tiles
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_units
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_voltage_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_vt_filler_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_write_stream_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_xtalk_route_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_zrt_net_properties
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
report_zrt_shield
SYNTAX
ARGUMENTS
DESCRIPTION
PREREQUISITES
EXAMPLES
SEE ALSO
reset_clock_tree_optimization_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_clock_tree_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_clock_tree_references
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_cts_batch_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_design
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_fp_clock_plan_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_inter_clock_delay_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_latency_adjustment_options
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
reset_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_path
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_reference_cell_routing_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_split_clock_gates_options
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
reset_switching_activity
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_timing_derate
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
reset_upf
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
resize_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
resize_polygon
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
restore_design_settings
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
rotate_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_auto
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_detail
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_differential
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_eco
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_flip_chip
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_fp_proto
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_global
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_htree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_mesh_net
SYNTAX
ARGUMENTS
DESCRIPTION
FILES
LIMITATIONS
EXAMPLES
SEE ALSO
route_opt
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_rc_reduction
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_search_repair
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_spreadwires
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_track
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
route_widen_wire
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_zrt_auto
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_zrt_clock_tree
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_zrt_detail
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_zrt_eco
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_zrt_global
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_zrt_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_zrt_track
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
route_zrt_with_route_guidance
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
rp_group_inclusions
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
rp_group_instantiations
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
rp_group_references
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
run_parallel_jobs
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
run_signoff
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
save_design_settings
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
save_mw_cel
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
save_qtm_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
save_upf
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
select_block_scenario
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
select_mim_master_instance
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
send_flow_status
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_active_scenarios
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_ahfs_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_always_on_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_always_on_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
set_annotated_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_annotated_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_annotated_transition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_aocvm_coefficient
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_attribute
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_auto_disable_drc_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_buffer_opt_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
set_case_analysis
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_cbt_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_cell_degradation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_cell_internal_power
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_cell_location
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_cell_row_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_cell_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_cell_vt_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_check_library_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_checkpoint_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_child_terminal
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_cell_spacing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_gating_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_gating_registers
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_latency
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_sense
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_transition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_tree_exceptions
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_tree_optimization_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_tree_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_tree_references
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_clock_uncertainty
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_combinational_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_congestion_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_connection_class
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_context_margin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_cost_priority
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_critical_range
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_cts_batch_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_cts_scenario
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_current_command_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
set_data_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_default_drive
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_default_driving_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_default_fanout_load
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_default_input_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_default_load
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_default_output_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_delay_calculation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_delay_estimation_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_die_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_direct_power_rail_tie
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_disable_clock_gating_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_disable_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_distributed_route
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_domain_supply_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_dont_touch
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_dont_touch_network
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_dont_touch_placement
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_dont_use
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_dp_int_round
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_drive
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_driving_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_droute_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_em_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_equal
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_error_view_property
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
set_extraction_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_false_path
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fanout_load
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fast_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fix_hold
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fix_hold_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
EXAMPLES
SEE ALSO
set_fix_multiple_port_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_flip_chip_bump_attributes
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_flip_chip_cell_site
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_flip_chip_driver_array
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_flip_chip_driver_island
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_flip_chip_driver_ring
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_flip_chip_grid
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_flip_chip_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_flip_chip_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_base_gate
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_black_boxes_estimated
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_black_boxes_unestimated
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_block_ring_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_clock_plan_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_flow_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_macro_array
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_macro_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_pin_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_placement_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_power_pad_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_rail_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_rail_extraction_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_rail_region_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_rail_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_rail_voltage_area_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_relative_location
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_shaping_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLE
SEE ALSO
set_fp_trace_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_fp_voltage_area_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_groute_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_hierarchy_color
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_host_options
SYNTAX for the Parent (Master) Process
SYNTAX for the Child (Slave) Processes
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_ideal_latency
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_ideal_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_ideal_network
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_ideal_transition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_ignore_cell_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_ignored_layers
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_input_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_input_transition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_inter_clock_delay_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_isolate_ports
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_isolation
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_isolation_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_isolation_control
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_keepout_margin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_latency_adjustment_options
SYNTAX
ARGUMENTS
DESCRIPTION
set_left_right_filler_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_level_shifter
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_level_shifter_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_level_shifter_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_level_shifter_threshold
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_lib_attribute
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_lib_cell_spacing_label
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_load
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_local_link_library
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_logic_dc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_logic_one
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_logic_zero
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_macro_cell_bound_spot
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_capacitance
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_dynamic_power
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_fanout
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_leakage_power
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_lvth_percentage
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_net_length
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_time_borrow
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_total_power
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_max_transition
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mcmm_job_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_message_info
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_min_capacitance
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_min_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_min_library
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mpc_macro_array
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mpc_macro_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mpc_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mpc_pnet_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mpc_port_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mpc_rectilinear_outline
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mpc_ring_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mtcmos_pna_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_multi_vth_constraint
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_multicycle_path
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mw_lib_reference
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_mw_technology_file
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_name
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_net_aggressors
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_net_routing_layer_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_net_routing_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_noise_immunity_curve
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_noise_lib_pin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_noise_margin
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_object_boundary
SYNTAX
ARGUMENTS
DESCRIPTION
NOTES
EXAMPLES
SEE ALSO
set_object_fixed_edit
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_object_shape
SYNTAX
ARGUMENTS
DESCRIPTION
NOTES
EXAMPLES
SEE ALSO
set_object_snap_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_operand_isolation_scope
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_operating_conditions
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_opposite
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_optimize_dft_options
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
set_optimize_pre_cts_power_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_output_delay
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_pad_physical_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_parameter
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_partition_data
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_pg_pin_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_physical_signoff_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_physopt_cpulimit_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_pin_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_pin_name_synonym
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_pin_physical_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_place_opt_cts_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_pnet_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_port_fanout_number
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_port_location
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_power_gating_signal
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_power_gating_style
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_power_guide
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_power_net_to_voltage_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
set_power_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_power_switch_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_prefer
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
EXAMPLES
SEE ALSO
set_preferred_routing_direction
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_preroute_advanced_via_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_preroute_drc_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_preroute_special_rules
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_primetime_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_propagated_clock
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_pulse_clock_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_qtm_global_parameter
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_qtm_port_drive
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_qtm_port_load
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_qtm_technology
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_rail_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_reference_cell_routing_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_register_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_related_supply_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_relative_always_on
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_resistance
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_retention
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_retention_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_retention_control
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_route_flip_chip_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_route_mode_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_route_opt_strategy
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_route_opt_zrt_crosstalk_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_route_options
SYNTAX
DATA TYPES
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_route_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_route_zrt_common_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_route_zrt_detail_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_route_zrt_global_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_route_zrt_track_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_row_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_rp_group_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_scaling_lib_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_scan_pin_type
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_scenario_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_scope
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_si_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_size_only
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_skew_group
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_spacing_label_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_split_clock_gates_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_starrcxt_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_steady_state_resistance
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_switching_activity
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_synlib_dont_get_license
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_target_library_subset
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_timing_derate
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_timing_ranges
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_tlu_plus_files
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_true_delay_case_analysis
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_unconnected
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_undoable_attribute
SYNTAX
ARGUMENTS
RETURNS
DESCRIPTION
EXAMPLES
SEE ALSO
set_ungroup
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_units
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_unix_variable
SEE ALSO
set_user_grid
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_via_array_size
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_voltage
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_voltage_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_vt_filler_rule
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_write_stream_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_xtalk_route_options
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
set_zero_interconnect_delay_mode
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
set_zrt_net_properties
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
setenv
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
sh
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
shape_fp_blocks
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
shell_is_in_upf_mode
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
signoff_drc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
signoff_metal_fill
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
signoff_opt
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
size_cell
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
sizeof_collection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
skew_opt
SYNTAX
ARGUMENTS
DESCRIPTION
LIMITATIONS
EXAMPLES
SEE ALSO
slot_wire
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
snap_objects
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
sort_collection
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
sort_fp_pins
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
source
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
split_clock_gates
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
split_clock_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
split_mw_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
split_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
split_objects
SYNTAX
ARGUMENTS
RETURNS
DESCRIPTION
EXAMPLES
SEE ALSO
split_zrt_net
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
spread_spare_cells
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
spread_zrt_wires
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
stretch_wire
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
sub_instances_of
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
suppress_message
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
swap_cell_locations
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
syntax_check
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
synthesize_fp_rail
SYNTAX for Single Voltage Power Network Synthesis
SYNTAX for Multivoltage Power Network Synthesis
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
trace_scan_chain
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
transform_coordinates
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
translate_zrt_parameters
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
trim_fill_eco
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
unalias
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
uncommit_fp_soft_macros
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
undefine_bus
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
undo
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
undo_config
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
undo_mark
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
ungroup
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
uniquify
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
uniquify_fp_mw_cel
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
unset_hierarchy_color
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
unset_power_guide
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
unsuppress_message
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_bounds
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_clock_latency
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_dc_floorplan
SYNTAX
DESCRIPTION
EXAMPLES
SEE ALSO
update_flip_chip_pin_locations
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_lib_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_lib_pg_pin_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_lib_pin_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_lib_voltage_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_physical_bus
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
update_voltage_area
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
verify_drc
SYNTAX
ARGUMENTS
DESCRIPTION
NOTES
EXAMPLES
SEE ALSO
verify_lvs
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
verify_pg_nets
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
verify_route
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
verify_zrt_route
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
virtual_ipo
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
which
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
while
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
widen_zrt_wires
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
window_stretch
SYNTAX
ARGUMENTS
DESCRIPTION
NOTES
EXAMPLES
SEE ALSO
write
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_def
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_design_lib_paths
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_design_settings
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_environment
SYNTAX
ARGUMENTS
DESCRIPTION
SEE ALSO
write_flip_chip_bumps
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_flip_chip_nets
SYNTAX
DATA TYPES
ARGUMENTS:
DESCRIPTION
EXAMPLES
SEE ALSO
write_floorplan
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_interface_timing
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_lib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_lib_specification_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_link_library
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_mw_lib_files
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_parasitics
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_physical_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_physical_script
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_pin_pad_physical_constraints
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_plib
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_qtm_model
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_route
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_rp_groups
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_saif
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_script
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_sdc
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_sdf
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_stream
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO
write_verilog
SYNTAX
ARGUMENTS
DESCRIPTION
EXAMPLES
SEE ALSO