logo资料库

IRUN读书笔记.doc

第1页 / 共2页
第2页 / 共2页
资料共2页,全文预览结束
读书笔记之 IRUN 1. Overview 1.1 IRUN 整合了多个工具,执行 IRUN 一般分为三个阶段: 1) Ncvlog/Ncvhdl 等多个 compiler 工具, 负责 compile 工作; 2) Ncelab,elaborate the design; 3) Ncsim, simulation. 1.2 IRUN 是如何工作的: 1) 创造 INCA_libs 文件夹; 2) 在 INCA_libs 下创建子文件夹 irun.***.nc; 3) 解析 IRUN 命令行; 4) Compile; 5) 6) Invoke ncelab to elaborate the design and generate a simulation snapshot. Invokes the simulator (ncsim) to simulate the snapshot. 默 认 的 log 在 irun.log, 可以通过-l xxx.log 来重命名。 常用命令分析 1) -prep a) 2) 3) 4) 5) 6) 7) 8) 9) IRUN 会识别命令行中的选项,但不会执行仿真,并为命令行自动产生 multi-step 的脚本,产生的文件有 cds.lib, hdl.var, executable.args, RUN_NC -helpargs a) 显示 command line 中所有文件和选项的信息,不会做 simulation -f/-F a) 引用 argument file(simfile),-f,argument file 中的相对路径按照 sim 根 目录计算,-F,argument file 中的相对路径按照 argument file 本身路径来 计算。使用-F 有风险,需避开引用循环的情形 -f simfile.f b) -vlog_ext a) 为 Verilog 添加识别后缀 b) -default_ext a) 为不识别的文件添加默认的识别方式 b) -c a) 执行 compile, elaborate,但不仿真 -debugscript a) 捕获 IRUN 环境变量和命令行执行情形,IRUN 遇到问题时,非常有用 -vlog_ext .v, .rtl, .vg -default_ext verilog 的 debug 方式 -debugscript debug.script b) -l a) 重命名 log 文件,默认是 irun.log b) -perfstat a) Performance 统计器,生成 ncperfstat.out 文件,里面有 compile,elaborate, -l test.log simulation 阶段时间及内存资源分析
10) -top a) 指明目标文件中的 top 文件
分享到:
收藏